dblp.uni-trier.dewww.uni-trier.de

Andy Lambrechts

List of publications from the DBLP Bibliography Server - FAQ
Coauthor Index - Ask others: ACM DL/Guide - CiteSeer - CSB - Google - MSN - Yahoo

2009
11EEPraveen Raghavan, Andy Lambrechts, Murali Jayapala, Francky Catthoor, Diederik Verkest: Distributed Loop Controller for Multithreading in Unithreaded ILP Architectures. IEEE Trans. Computers 58(3): 311-321 (2009)
2008
10EEDavid Novo, Bruno Bougard, Andy Lambrechts, Liesbet Van der Perre, Francky Catthoor: Scenario-Based Fixed-point Data Format Refinement to Enable Energy-scalable Software Defined Radios. DATE 2008: 722-727
9EEPraveen Raghavan, Andy Lambrechts, Javed Absar, Murali Jayapala, Francky Catthoor, Diederik Verkest: Coffee: COmpiler Framework for Energy-Aware Exploration. HiPEAC 2008: 193-208
8EEAndy Lambrechts, Praveen Raghavan, Murali Jayapala, Francky Catthoor, Diederik Verkest: Energy-Aware Interconnect Optimization for a Coarse Grained Reconfigurable Processor. VLSI Design 2008: 201-207
2007
7EEPraveen Raghavan, Satyakiran Munaga, Estela Rey Ramos, Andy Lambrechts, Murali Jayapala, Francky Catthoor, Diederik Verkest: A Customized Cross-Bar for Data-Shuffling in Domain-Specific SIMD Processors. ARCS 2007: 57-68
6EEJaved Absar, Min Li, Praveen Raghavan, Andy Lambrechts, Murali Jayapala, Arnout Vandecappelle, Francky Catthoor: Locality optimization in wireless applications. CODES+ISSS 2007: 125-130
5EEPraveen Raghavan, Andy Lambrechts, Murali Jayapala, Francky Catthoor, Diederik Verkest, Henk Corporaal: Very wide register: an asymmetric register file organization for low power embedded processors. DATE 2007: 1066-1071
2006
4EEPraveen Raghavan, Andy Lambrechts, Murali Jayapala, Francky Catthoor, Diederik Verkest: Distributed loop controller architecture for multi-threading in uni-threaded VLIW processors. DATE 2006: 339-344
2005
3EEAndy Lambrechts, Praveen Raghavan, Anthony Leroy, Guillermo Talavera, Tom Vander Aa, Murali Jayapala, Francky Catthoor, Diederik Verkest, Geert Deconinck, Henk Corporaal, Frédéric Robert, Jordi Carrabina: Power Breakdown Analysis for a Heterogeneous NoC Platform Running a Video Application. ASAP 2005: 179-184
2EEBingfeng Mei, Andy Lambrechts, Diederik Verkest, Jean-Yves Mignolet, Rudy Lauwereins: Architecture Exploration for a Reconfigurable Architecture Template. IEEE Design & Test of Computers 22(2): 90-101 (2005)
2004
1EEAndy Lambrechts, Tom Vander Aa, Murali Jayapala, Guillermo Talavera, Anthony Leroy, Adelina Shickova, Francisco Barat, Bingfeng Mei, Francky Catthoor, Diederik Verkest, Geert Deconinck, Henk Corporaal, Frédéric Robert, Jordi Carrabina Bordoll: Design Style Case Study for Embedded Multi Media Compute Nodes. RTSS 2004: 104-113

Coauthor Index

1Tom Vander Aa [1] [3]
2Javed Absar (Mohammed Javed Absar) [6] [9]
3Francisco Barat [1]
4Bruno Bougard [10]
5Jordi Carrabina (Jordi Carrabina Bordoll) [1] [3]
6Francky Catthoor [1] [3] [4] [5] [6] [7] [8] [9] [10] [11]
7Henk Corporaal [1] [3] [5]
8Geert Deconinck [1] [3]
9Murali Jayapala [1] [3] [4] [5] [6] [7] [8] [9] [11]
10Rudy Lauwereins [2]
11Anthony Leroy [1] [3]
12Min Li [6]
13Bingfeng Mei [1] [2]
14Jean-Yves Mignolet [2]
15Satyakiran Munaga [7]
16David Novo [10]
17Liesbet Van der Perre [10]
18Praveen Raghavan [3] [4] [5] [6] [7] [8] [9] [11]
19Estela Rey Ramos [7]
20Frédéric Robert [1] [3]
21Adelina Shickova [1]
22Guillermo Talavera [1] [3]
23Arnout Vandecappelle [6]
24Diederik Verkest [1] [2] [3] [4] [5] [7] [8] [9] [11]

Copyright © Sun May 17 03:24:02 2009 by Michael Ley (ley@uni-trier.de)