dblp.uni-trier.dewww.uni-trier.de

Kunle Olukotun

Oyekunle A. Olukotun

List of publications from the DBLP Bibliography Server - FAQ
Coauthor Index - Ask others: ACM DL/Guide - CiteSeer - CSB - Google - MSN - Yahoo
Home Page

2009
71EENathan Grasso Bronson, Christos Kozyrakis, Kunle Olukotun: Feedback-directed barrier optimization in a strongly isolated STM. POPL 2009: 213-225
2008
70 Andreas Moshovos, David Tarditi, Kunle Olukotun: 17th International Conference on Parallel Architecture and Compilation Techniques (PACT 2008), Toronto, Ontario, Canada, October 25-29, 2008 ACM 2008
69EEChi Cao Minh, JaeWoong Chung, Christos Kozyrakis, Kunle Olukotun: STAMP: Stanford Transactional Applications for Multi-Processing. IISWC 2008: 35-46
68EEJaeWoong Chung, Jiwon Seo, Woongki Baek, Chi Cao Minh, Austen McDonald, Christos Kozyrakis, Kunle Olukotun: Improving software concurrency with hardware-assisted memory snapshot. SPAA 2008: 363
67EEJaeWoong Chung, Woongki Baek, Nathan Grasso Bronson, Jiwon Seo, Christos Kozyrakis, Kunle Olukotun: Ased: availability, security, and debugging support usingtransactional memory. SPAA 2008: 366
2007
66EENjuguna Njoroge, Jared Casper, Sewook Wee, Yuriy Teslyar, Daxia Ge, Christos Kozyrakis, Kunle Olukotun: ATLAS: a chip-multiprocessor with transactional memory support. DATE 2007: 3-8
65EESewook Wee, Jared Casper, Njuguna Njoroge, Yuriy Teslyar, Daxia Ge, Christos Kozyrakis, Kunle Olukotun: A practical FPGA-based framework for novel CMP research. FPGA 2007: 116-125
64EEHassan Chafi, Jared Casper, Brian D. Carlstrom, Austen McDonald, Chi Cao Minh, Woongki Baek, Christos Kozyrakis, Kunle Olukotun: A Scalable, Non-blocking Approach to Transactional Memory. HPCA 2007: 97-108
63EEChi Cao Minh, Martin Trautmann, JaeWoong Chung, Austen McDonald, Nathan Grasso Bronson, Jared Casper, Christos Kozyrakis, Kunle Olukotun: An effective hybrid transactional memory system with strong isolation guarantees. ISCA 2007: 69-80
62EEWoongki Baek, Chi Cao Minh, Martin Trautmann, Christos Kozyrakis, Kunle Olukotun: The OpenTM Transactional Application Programming Interface. PACT 2007: 376-387
61EEBrian D. Carlstrom, Austen McDonald, Michael Carbin, Christos Kozyrakis, Kunle Olukotun: Transactional collection classes. PPOPP 2007: 56-67
60EEWoongki Baek, JaeWoong Chung, Chi Cao Minh, Christos Kozyrakis, Kunle Olukotun: Towards soft optimization techniques for parallel cognitive applications. SPAA 2007: 59-60
59EEAusten McDonald, Brian D. Carlstrom, JaeWoong Chung, Chi Cao Minh, Hassan Chafi, Christos Kozyrakis, Kunle Olukotun: Transactional Memory: The Hardware-Software Interface. IEEE Micro 27(1): 67-76 (2007)
2006
58EEJaeWoong Chung, Chi Cao Minh, Austen McDonald, Travis Skare, Hassan Chafi, Brian D. Carlstrom, Christos Kozyrakis, Kunle Olukotun: Tradeoffs in transactional memory virtualization. ASPLOS 2006: 371-381
57EEJaeWoong Chung, Hassan Chafi, Chi Cao Minh, Austen McDonald, Brian D. Carlstrom, Christos Kozyrakis, Kunle Olukotun: The common case transactional behavior of multithreaded programs. HPCA 2006: 266-277
56EEAusten McDonald, JaeWoong Chung, Brian D. Carlstrom, Chi Cao Minh, Hassan Chafi, Christos Kozyrakis, Kunle Olukotun: Architectural Semantics for Practical Transactional Memory. ISCA 2006: 53-65
55EECheng-Tao Chu, Sang Kyun Kim, Yi-An Lin, YuanYuan Yu, Gary R. Bradski, Andrew Y. Ng, Kunle Olukotun: Map-Reduce for Machine Learning on Multicore. NIPS 2006: 281-288
54EEChaiyasit Manovit, Sudheendra Hangal, Hassan Chafi, Austen McDonald, Christos Kozyrakis, Kunle Olukotun: Testing implementations of transactional memory. PACT 2006: 134-143
53EEBrian D. Carlstrom, Austen McDonald, Hassan Chafi, JaeWoong Chung, Chi Cao Minh, Christoforos E. Kozyrakis, Kunle Olukotun: The Atomos transactional programming language. PLDI 2006: 1-13
52EEBrad Schumitsch, Sebastian Thrun, Leonidas J. Guibas, Kunle Olukotun: The Identity Management Kalman Filter (IMKF). Robotics: Science and Systems 2006
51EEBrian D. Carlstrom, JaeWoong Chung, Hassan Chafi, Austen McDonald, Chi Cao Minh, Lance Hammond, Christoforos E. Kozyrakis, Kunle Olukotun: Executing Java programs with transactional memory. Sci. Comput. Program. 63(2): 111-129 (2006)
2005
50EEKunle Olukotun: A New Approach to Programming and Prototyping Parallel Systems. HiPC 2005: 4
49EEHassan Chafi, Chi Cao Minh, Austen McDonald, Brian D. Carlstrom, JaeWoong Chung, Lance Hammond, Christos Kozyrakis, Kunle Olukotun: TAPE: a transactional application profiling environment. ICS 2005: 199-208
48EEJohn D. Davis, James Laudon, Kunle Olukotun: Maximizing CMP Throughput with Mediocre Cores. IEEE PACT 2005: 51-62
47EEAusten McDonald, JaeWoong Chung, Hassan Chafi, Chi Cao Minh, Brian D. Carlstrom, Lance Hammond, Christos Kozyrakis, Kunle Olukotun: Characterization of TCC on Chip-Multiprocessors. IEEE PACT 2005: 63-74
46EEAyodele Thomas, Kunle Olukotun: An Application Analysis Framework For Polymorphic Chip Multiprocessors. IPDPS 2005
45EEBrad Schumitsch, Sebastian Thrun, Gary R. Bradski, Kunle Olukotun: The Information-Form Data Association Filter. NIPS 2005
44EEManohar K. Prabhu, Kunle Olukotun: Exposing speculative thread parallelism in SPEC2000. PPOPP 2005: 142-152
43EEKunle Olukotun, Lance Hammond: The future of microprocessors. ACM Queue 3(7): 26-29 (2005)
42EEPoonacha Kongetira, Kathirgamar Aingaran, Kunle Olukotun: Niagara: A 32-Way Multithreaded Sparc Processor. IEEE Micro 25(2): 21-29 (2005)
41EEJohn D. Davis, Stephen E. Richardson, Charis Charitsis, Kunle Olukotun: A chip prototyping substrate: the flexible architecture for simulation and testing (FAST). SIGARCH Computer Architecture News 33(4): 34-43 (2005)
2004
40EELance Hammond, Brian D. Carlstrom, Vicky Wong, Ben Hertzberg, Michael K. Chen, Christos Kozyrakis, Kunle Olukotun: Programming with transactional coherence and consistency (TCC). ASPLOS 2004: 1-13
39EELance Hammond, Vicky Wong, Michael K. Chen, Brian D. Carlstrom, John D. Davis, Ben Hertzberg, Manohar K. Prabhu, Honggo Wijaya, Christos Kozyrakis, Kunle Olukotun: Transactional Memory Coherence and Consistency. ISCA 2004: 102-113
38EELance Hammond, Brian D. Carlstrom, Vicky Wong, Michael K. Chen, Christos Kozyrakis, Kunle Olukotun: Transactional Coherence and Consistency: Simplifying Parallel Hardware and Software. IEEE Micro 24(6): 92-103 (2004)
2003
37EEMichael K. Chen, Kunle Olukotun: TEST: A Tracer for Extracting Speculative Thread. CGO 2003: 301-314
36EEMichael K. Chen, Kunle Olukotun: The Jrpm System for Dynamically Parallelizing Java Programs. ISCA 2003: 434-445
35EEManohar K. Prabhu, Kunle Olukotun: Using thread-level speculation to simplify manual parallelization. PPOPP 2003: 1-12
34EEMichael K. Chen, Kunle Olukotun: The Jrpm System for Dynamically Parallelizing Sequential Java Programs. IEEE Micro 23(6): 26-35 (2003)
2002
33EEValeria Bertacco, Kunle Olukotun: Efficient state representation for symbolic simulation. DAC 2002: 99-104
32EEMichael K. Chen, Kunle Olukotun: Targeting Dynamic Compilation for Embedded Environments. Java™ Virtual Machine Research and Technology Symposium 2002: 151-164
2001
31EEKenneth M. Wilson, Kunle Olukotun: High Bandwidth On-Chip Cache Design. IEEE Trans. Computers 50(4): 292-307 (2001)
2000
30EELance Hammond, Benedict A. Hubbert, Michael Siu, Manohar K. Prabhu, Michael K. Chen, Kunle Olukotun: The Stanford Hydra CMP. IEEE Micro 20(2): 71-84 (2000)
1999
29EERachid Helaihel, Kunle Olukotun: JMTP: an architecture for exploiting concurrency in embedded Java applications with real-time considerations. ICCAD 1999: 551-557
28EEKunle Olukotun, Lance Hammond, Mark Willey: Improving the performance of speculatively parallel applications on the Hydra CMP. International Conference on Supercomputing 1999: 21-30
1998
27EELance Hammond, Mark Willey, Kunle Olukotun: Data Speculation Support for a Chip Multiprocessor. ASPLOS 1998: 58-69
26EEKunle Olukotun, Mark Heinrich, David Ofelt: Digital System Simulation: Methodologies and Examples. DAC 1998: 658-663
25EETakashi Miyamori, Kunle Olukotun: A Quantitative Analysis of Reconfigurable Coprocessors for Multimedia Applications. FCCM 1998: 2-11
24EETakashi Miyamori, Kunle Olukotun: REMARC: Reconfigurable Multimedia Array Coprocessor (Abstract). FPGA 1998: 261
23EEMichael K. Chen, Kunle Olukotun: Exploiting Method-Level Parallelism in Single-Threaded Java Programs. IEEE PACT 1998: 176-
1997
22EETadaaki Yamauchi, Lance Hammond, Kunle Olukotun: The Hierarchical Multi-Bank DRAM: A High-Performance Architecture for Memory Integrated with Processors. ARVLSI 1997: 303-319
21EEJeremy R. Levitt, Kunle Olukotun: Verifying correct pipeline implementation for microprocessors. ICCAD 1997: 162-169
20EERachid Helaihel, Kunle Olukotun: Java as a specification language for hardware-software systems. ICCAD 1997: 690-697
19EEKenneth M. Wilson, Kunle Olukotun: Designing High Bandwidth On-Chip Caches. ISCA 1997: 121-132
18 Lance Hammond, Basem A. Nayfeh, Kunle Olukotun: A Single-Chip Multiprocessor. IEEE Computer 30(9): 79-85 (1997)
17 Kunle Olukotun, Trevor N. Mudge, Richard B. Brown: Multilevel Optimization of Pipelined Caches. IEEE Trans. Computers 46(10): 1083-1102 (1997)
1996
16 Kunle Olukotun, Basem A. Nayfeh, Lance Hammond, Kenneth G. Wilson, Kunyung Chang: The Case for a Single-Chip Multiprocessor. ASPLOS 1996: 2-11
15EEJeremy R. Levitt, Kunle Olukotun: A Scalable Formal Verification Methodology for Pipelined Microprocessors. DAC 1996: 558-563
14EEBasem A. Nayfeh, Kunle Olukotun, Jaswinder Pal Singh: The Impact of Shared-Cache Clustering in Small-Scale Shared-Memory Multiprocessors. HPCA 1996: 74-84
13EEKenneth M. Wilson, Kunle Olukotun, Mendel Rosenblum: Increasing Cache Port Efficiency for Dynamic Superscalar Microprocessors. ISCA 1996: 147-157
12EEBasem A. Nayfeh, Lance Hammond, Kunle Olukotun: Evaluation of Design Alternatives for a Multiprocessor Microprocessor. ISCA 1996: 67-77
1995
11EERobert S. French, Monica S. Lam, Jeremy R. Levitt, Kunle Olukotun: A General Method for Compiling Event-Driven Simulations. DAC 1995: 151-156
10EEAndrew Erlichson, Basem A. Nayfeh, Jaswinder Pal Singh, Kunle Olukotun: The Benefits of Clustering in Shared Address Space Multiprocessors: An Applications-Driven Investigation. SC 1995
1994
9 Basem A. Nayfeh, Kunle Olukotun: Exploring the Design Space for a Shared-Cache Multiprocessor. ISCA 1994: 166-175
1992
8 Kunle Olukotun, Trevor N. Mudge, Richard B. Brown: Performance Optimization of Pipelined Primary Caches. ISCA 1992: 181-190
7EEKarem A. Sakallah, Trevor N. Mudge, Oyekunle A. Olukotun: Analysis and design of latch-controlled synchronous digital circuits. IEEE Trans. on CAD of Integrated Circuits and Systems 11(3): 322-333 (1992)
1991
6EEKunle Olukotun, Trevor N. Mudge, Richard B. Brown: Implementing a Cache for a High-Performance GaAs Microprocessor. ISCA 1991: 138-147
5 Trevor N. Mudge, Richard B. Brown, William P. Bimingham, Jeffrey A. Dykstra, Ayman I. Kayssi, Ronald J. Lomax, Kunle Olukotun, Karem A. Sakallah, Raymond A. Milano: The Design of a Microsupercomputer. IEEE Computer 24(1): 57-64 (1991)
1990
4EEKarem A. Sakallah, Trevor N. Mudge, Kunle Olukotun: Analysis and Design of Latch-Controlled Synchronous Digital Circuits. DAC 1990: 111-117
3 Karem A. Sakallah, Trevor N. Mudge, Kunle Olukotun: check Tc and min Tc: Timing Verification and Optimal Clocking of Synchronous Digtal Circuits. ICCAD 1990: 552-555
2 Oyekunle A. Olukotun, Trevor N. Mudge: Hierarchical Gate-Array Routing on a Hypercube Multiprocessor. J. Parallel Distrib. Comput. 8(4): 313-324 (1990)
1987
1EEKunle Olukotun, Trevor N. Mudge: A Preliminary Investigation into Parallel Routing on a Hypercube Computer. DAC 1987: 814-820

Coauthor Index

1Kathirgamar Aingaran [42]
2Woongki Baek [60] [62] [64] [67] [68]
3Valeria Bertacco [33]
4William P. Bimingham [5]
5Gary R. Bradski [45] [55]
6Nathan Grasso Bronson [63] [67] [71]
7Richard B. Brown [5] [6] [8] [17]
8Michael Carbin [61]
9Brian D. Carlstrom [38] [39] [40] [47] [49] [51] [53] [56] [57] [58] [59] [61] [64]
10Jared Casper [63] [64] [65] [66]
11Hassan Chafi [47] [49] [51] [53] [54] [56] [57] [58] [59] [64]
12Kunyung Chang [16]
13Charis Charitsis [41]
14Michael K. Chen [23] [30] [32] [34] [36] [37] [38] [39] [40]
15Cheng-Tao Chu [55]
16JaeWoong Chung [47] [49] [51] [53] [56] [57] [58] [59] [60] [63] [67] [68] [69]
17John D. Davis [39] [41] [48]
18Jeffrey A. Dykstra [5]
19Andrew Erlichson [10]
20Robert S. French [11]
21Daxia Ge [65] [66]
22Leonidas J. Guibas [52]
23Lance Hammond [12] [16] [18] [22] [27] [28] [30] [38] [39] [40] [43] [47] [49] [51]
24Sudheendra Hangal [54]
25Mark Heinrich [26]
26Rachid Helaihel [20] [29]
27Ben Hertzberg [39] [40]
28Benedict A. Hubbert [30]
29Ayman I. Kayssi [5]
30Sang Kyun Kim [55]
31Poonacha Kongetira [42]
32Christoforos E. Kozyrakis (Christos Kozyrakis) [38] [39] [40] [47] [49] [51] [53] [54] [56] [57] [58] [59] [60] [61] [62] [63] [64] [65] [66] [67] [68] [69] [71]
33Monica S. Lam [11]
34James Laudon [48]
35Jeremy R. Levitt [11] [15] [21]
36Yi-An Lin [55]
37Ronald J. Lomax [5]
38Chaiyasit Manovit [54]
39Austen McDonald [47] [49] [51] [53] [54] [56] [57] [58] [59] [61] [63] [64] [68]
40Raymond A. Milano [5]
41Chi Cao Minh [47] [49] [51] [53] [56] [57] [58] [59] [60] [62] [63] [64] [68] [69]
42Takashi Miyamori [24] [25]
43Andreas Moshovos [70]
44Trevor N. Mudge [1] [2] [3] [4] [5] [6] [7] [8] [17]
45Basem A. Nayfeh [9] [10] [12] [14] [16] [18]
46Andrew Y. Ng [55]
47Njuguna Njoroge [65] [66]
48David Ofelt [26]
49Manohar K. Prabhu [30] [35] [39] [44]
50Stephen E. Richardson [41]
51Mendel Rosenblum [13]
52Karem A. Sakallah [3] [4] [5] [7]
53Brad Schumitsch [45] [52]
54Jiwon Seo [67] [68]
55Jaswinder Pal Singh [10] [14]
56Michael Siu [30]
57Travis Skare [58]
58David Tarditi [70]
59Yuriy Teslyar [65] [66]
60Ayodele Thomas [46]
61Sebastian Thrun [45] [52]
62Martin Trautmann [62] [63]
63Sewook Wee [65] [66]
64Honggo Wijaya [39]
65Mark Willey [27] [28]
66Kenneth G. Wilson [16]
67Kenneth M. Wilson [13] [19] [31]
68Vicky Wong [38] [39] [40]
69Tadaaki Yamauchi [22]
70YuanYuan Yu [55]

Colors in the list of coauthors

Copyright © Sun May 17 03:24:02 2009 by Michael Ley (ley@uni-trier.de)