dblp.uni-trier.dewww.uni-trier.de

Norman P. Jouppi

List of publications from the DBLP Bibliography Server - FAQ
Coauthor Index - Ask others: ACM DL/Guide - CiteSeer - CSB - Google - MSN - Yahoo
Home Page

2008
59EERaymond G. Beausoleil, J. Ahn, Nathan L. Binkert, Al Davis, D. Fattal, Marco Fiorentino, Norman P. Jouppi, Moray McLaren, C. M. Santori, R. S. Schreiber, S. M. Spillane, Dana Vantrease, Q. Xu: A Nanophotonic Interconnect for High-Performance Many-Core Computation. Hot Interconnects 2008: 182-189
58EEMichael Tan, Paul Rosenberg, Jong Souk Yeo, Moray McLaren, Sagi Mathai, Terry Morris, Joseph Straznicky, Norman P. Jouppi, Huei Pei Kuo, Shih-Yuan Wang, Scott Lerner, Pavel Kornilovich, Neal Meyer, Robert Bicknell, Charles Otis, Len Seals: A High-Speed Optical Multi-Drop Bus for Computer Interconnections. Hot Interconnects 2008: 3-10
57EEDana Vantrease, Robert Schreiber, Matteo Monchiero, Moray McLaren, Norman P. Jouppi, Marco Fiorentino, Al Davis, Nathan L. Binkert, Raymond G. Beausoleil, Jung Ho Ahn: Corona: System Implications of Emerging Nanophotonic Technology. ISCA 2008: 153-164
56EEShyamkumar Thoziyoor, Jung Ho Ahn, Matteo Monchiero, Jay B. Brockman, Norman P. Jouppi: A Comprehensive Memory Modeling Tool and Its Application to the Design and Analysis of Future Memory Hierarchies. ISCA 2008: 51-62
55EENorman P. Jouppi: System implications of integrated photonics. ISLPED 2008: 183-184
54EENidhi Aggarwal, James E. Smith, Kewal K. Saluja, Norman P. Jouppi, Parthasarathy Ranganathan: Implementing high availability memory with a duplication cache. MICRO 2008: 71-82
53EENaveen Muralimanohar, Rajeev Balasubramonian, Norman P. Jouppi: Architecting Efficient Interconnects for Large Caches with CACTI 6.0. IEEE Micro 28(1): 69-79 (2008)
2007
52EEShekhar Borkar, Norman P. Jouppi, Per Stenström: Microprocessors in the era of terascale integration. DATE 2007: 237-242
51EENidhi Aggarwal, Parthasarathy Ranganathan, Norman P. Jouppi, James E. Smith: Configurable isolation: building high availability systems with commodity multi-core processors. ISCA 2007: 470-481
50EENaveen Muralimanohar, Rajeev Balasubramonian, Norman P. Jouppi: Optimizing NUCA Organizations and Wiring Alternatives for Large Caches with CACTI 6.0. MICRO 2007: 3-14
49EEMichael S. Schlansker, Nagabhushan Chitlur, Erwin Oertli, Paul M. Stillwell Jr., Linda Rankin, Dennis Bradford, Richard J. Carter, Jayaram Mudigonda, Nathan L. Binkert, Norman P. Jouppi: High-performance ethernet-based communications for future multi-core processors. SC 2007: 37
48EENidhi Aggarwal, Parthasarathy Ranganathan, Norman P. Jouppi, James E. Smith: Isolation in Commodity Multicore Processors. IEEE Computer 40(6): 49-59 (2007)
2006
47EEAnahita Shayesteh, Glenn Reinman, Norman P. Jouppi, Timothy Sherwood, Suleyman Sair: Improving the performance and power efficiency of shared helpers in CMPs. CASES 2006: 345-356
46EEJack Sampson, Rubén González, Jean-Francois Collard, Norman P. Jouppi, Michael S. Schlansker, Brad Calder: Exploiting Fine-Grained Data Parallelism with Chip Multiprocessors and Fast Barriers. MICRO 2006: 235-246
45EERakesh Kumar, Dean M. Tullsen, Norman P. Jouppi: Core architecture optimization for heterogeneous chip multiprocessors. PACT 2006: 23-32
44EEChristophe Lemuet, Jack Sampson, Jean-Francois Collard, Norman P. Jouppi: Architecture - The potential energy efficiency of vector acceleration. SC 2006: 77
2005
43EEParthasarathy Ranganathan, Norman P. Jouppi: Enterprise IT Trends and Implications for Architecture Research. HPCA 2005: 253-256
42 Norman P. Jouppi, Stan Thomas: Telepresence Systems With Automatic Preservation of User Head Height, Local Rotation, and Remote Translation. ICRA 2005: 62-68
41EENorman P. Jouppi: The Future Evolution of High-Performance Microprocessors. MICRO 2005: 155
40EEJean-Francois Collard, Norman P. Jouppi, Sami Yehia: System-wide performance monitors and their application to the optimization of coherent memory accesses. PPOPP 2005: 247-254
39EERakesh Kumar, Dean M. Tullsen, Norman P. Jouppi, Parthasarathy Ranganathan: Heterogeneous Chip Multiprocessors. IEEE Computer 38(11): 32-38 (2005)
38EENorman P. Jouppi, Rakesh Kumar, Dean M. Tullsen: Introduction to the special issue on the 2005 workshop on design, analysis, and simulation of chip multiprocessors (dasCMP'05). SIGARCH Computer Architecture News 33(4): 4 (2005)
37EEJack Sampson, Rubén González, Jean-Francois Collard, Norman P. Jouppi, Michael S. Schlansker: Fast synchronization for chip multiprocessors. SIGARCH Computer Architecture News 33(4): 64-69 (2005)
36EEAnahita Shayesteh, Glenn Reinman, Norman P. Jouppi, Suleyman Sair, Timothy Sherwood: Dynamically configurable shared CMP helper engines for improved performance. SIGARCH Computer Architecture News 33(4): 70-79 (2005)
2004
35EENorman P. Jouppi, Subu Iyer, Stan Thomas, April Slayden: BiReality: mutually-immersive telepresence. ACM Multimedia 2004: 860-867
34EENorman P. Jouppi: The Future Evolution of High-Performance Microprocessors. HiPC 2004: 5
33 Jacob Augustine, Shivarama Rao, Norman P. Jouppi, Subu Iyer: Region of interest editing of MPEG-2 video streams in the compressed domain. ICME 2004: 559-562
32EENorman P. Jouppi, Subu Iyer, Wayne Mack, April Slayden Mitchell, Stan Thomas: A First Generation Mutually-Immersive Mobile Telepresence Surrogate with Automatic Backtracking. ICRA 2004: 1670-1675
31EERakesh Kumar, Dean M. Tullsen, Parthasarathy Ranganathan, Norman P. Jouppi, Keith I. Farkas: Single-ISA Heterogeneous Multi-Core Architectures for Multithreaded Workload Performance. ISCA 2004: 64-75
30EERakesh Kumar, Norman P. Jouppi, Dean M. Tullsen: Conjoined-Core Chip Multiprocessing. MICRO 2004: 195-206
2003
29EERakesh Kumar, Keith I. Farkas, Norman P. Jouppi, Parthasarathy Ranganathan, Dean M. Tullsen: Single-ISA Heterogeneous Multi-Core Architectures: The Potential for Processor Power Reduction. MICRO 2003: 81-92
28EERakesh Kumar, Keith I. Farkas, Norman P. Jouppi, Parthasarathy Ranganathan, Dean M. Tullsen: Processor Power Reduction Via Single-ISA Heterogeneous Multi-Core Architectures. Computer Architecture Letters 2: (2003)
2002
27EENorman P. Jouppi: First steps towards mutually-immersive mobile telepresence. CSCW 2002: 354-363
26EEM. S. Hrishikesh, Doug Burger, Stephen W. Keckler, Premkishore Shivakumar, Norman P. Jouppi, Keith I. Farkas: The Optimal Logic Depth Per Pipeline Stage is 6 to 8 FO4 Inverter Delays. ISCA 2002: 14-24
2000
25EEParthasarathy Ranganathan, Sarita V. Adve, Norman P. Jouppi: Reconfigurable caches and their application to media processing. ISCA 2000: 214-224
1999
24EEParthasarathy Ranganathan, Sarita V. Adve, Norman P. Jouppi: Performance of Image and Video Processing with General-Purpose Processors and Media ISA Extensions. ISCA 1999: 124-135
23EEJoel McCormack, Ronald N. Perry, Keith I. Farkas, Norman P. Jouppi: Feline: Fast Elliptical Lines for Anisotropic Texture Mapping. SIGGRAPH 1999: 243-250
22 Keith I. Farkas, Paul Chow, Norman P. Jouppi, Zvonko G. Vranesic: The Multicluster Architecture: Reducing Processor Cycle Time Through Partitioning. International Journal of Parallel Programming 27(5): 327-356 (1999)
1998
21EENorman P. Jouppi: Improving Direct-Mapped Cache Performance by the Addition of a Small Fully-Associative Cache Prefetch Buffers. 25 Years ISCA: Retrospectives and Reprints 1998: 388-397
20EENorman P. Jouppi: Retrospective: Improving Direct-Mapped Cache Performance by the Addition of a Small Fully-Associative Cache and Prefetch Buffers. 25 Years ISCA: Retrospectives and Reprints 1998: 71-73
1997
19EEKeith I. Farkas, Paul Chow, Norman P. Jouppi, Zvonko G. Vranesic: Memory-System Design Considerations for Dynamically-Scheduled Processors. ISCA 1997: 133-143
18EESubbarao Palacharla, Norman P. Jouppi, James E. Smith: Complexity-Effective Superscalar Processors. ISCA 1997: 206-218
17EEKeith I. Farkas, Paul Chow, Norman P. Jouppi, Zvonko G. Vranesic: The Multicluster Architecture: Reducing Cycle Time Through Partitioning. MICRO 1997: 149-159
1996
16EEKeith I. Farkas, Norman P. Jouppi, Paul Chow: Register File Design Considerations in Dynamically Scheduled Processors. HPCA 1996: 40-51
1995
15 Keith I. Farkas, Norman P. Jouppi, Paul Chow: How Useful Are Non-Blocking Loads, Stream Buffers and Speculative Execution in Multiple Issue Processors? HPCA 1995: 78-89
1994
14 Keith I. Farkas, Norman P. Jouppi: Complexity/Performance Tradeoffs with Non-Blocking Loads. ISCA 1994: 211-222
13 Norman P. Jouppi, Steven J. E. Wilton: Tradeoffs in Two-Level On-Chip Caching. ISCA 1994: 34-45
1993
12 Norman P. Jouppi: Cache Write Policies and Performance. ISCA 1993: 191-201
1992
11 J. Bradley Chen, Anita Borg, Norman P. Jouppi: A Simulation Based Study of TLB Performance. ISCA 1992: 114-123
1991
10 John L. Hennessy, Norman P. Jouppi: Computer Technology and Architecture: An Evolving Interaction. IEEE Computer 24(9): 18-29 (1991)
1990
9 Norman P. Jouppi: Improving Direct-Mapped Cache Performance by the Addition of a Small Fully-Associative Cache and Prefetch Buffers. ISCA 1990: 364-373
1989
8 Norman P. Jouppi, Jonathan Bertoni, David W. Wall: A Unified Vector/Scalar Floating-Point Architecture. ASPLOS 1989: 134-143
7 Norman P. Jouppi, David W. Wall: Available Instruction-Level Parallelism for Superscalar and Superpipelined Machines. ASPLOS 1989: 272-282
6EENorman P. Jouppi: Architectural and Organizational Tradeoffs in the Design of the MultiTitan CPU. ISCA 1989: 281-289
5 Norman P. Jouppi: The Nonuniform Distribution of Instruction-Level and Machine Parallelism and Its Effect on Performance. IEEE Trans. Computers 38(12): 1645-1658 (1989)
1987
4EENorman P. Jouppi: Derivation of Signal Flow Direction in MOS VLSI. IEEE Trans. on CAD of Integrated Circuits and Systems 6(3): 480-490 (1987)
3EENorman P. Jouppi: Timing Analysis and Performance Improvement of MOS VLSI Designs. IEEE Trans. on CAD of Integrated Circuits and Systems 6(4): 650-665 (1987)
1982
2 John L. Hennessy, Norman P. Jouppi, Forest Baskett, Thomas R. Gross, John Gill: Hardware/Software Tradeoffs for Increased Performance. ASPLOS 1982: 2-11
1 John L. Hennessy, Norman P. Jouppi, John Gill, Forest Baskett, Alex Strong, Thomas R. Gross, Christopher Rowen, Judson Leonard: The MIPS Machine. COMPCON 1982: 2-7

Coauthor Index

1Sarita V. Adve [24] [25]
2Nidhi Aggarwal [48] [51] [54]
3J. Ahn [59]
4Jung Ho Ahn [56] [57]
5Jacob Augustine [33]
6Rajeev Balasubramonian [50] [53]
7Forest Baskett [1] [2]
8Raymond G. Beausoleil [57] [59]
9Jonathan Bertoni [8]
10Robert Bicknell [58]
11Nathan L. Binkert [49] [57] [59]
12Anita Borg [11]
13Shekhar Y. Borkar (Shekhar Borkar) [52]
14Dennis Bradford [49]
15Jay B. Brockman [56]
16Doug Burger [26]
17Brad Calder [46]
18Richard J. Carter [49]
19J. Bradley Chen [11]
20Nagabhushan Chitlur [49]
21Paul Chow [15] [16] [17] [19] [22]
22Jean-Francois Collard [37] [40] [44] [46]
23Al Davis [57] [59]
24Keith I. Farkas [14] [15] [16] [17] [19] [22] [23] [26] [28] [29] [31]
25D. Fattal [59]
26Marco Fiorentino [57] [59]
27John Gill [1] [2]
28Rubén González [37] [46]
29Thomas R. Gross [1] [2]
30John L. Hennessy [1] [2] [10]
31M. S. Hrishikesh [26]
32Subu Iyer [32] [33] [35]
33Stephen W. Keckler [26]
34Pavel Kornilovich [58]
35Rakesh Kumar [28] [29] [30] [31] [38] [39] [45]
36Huei Pei Kuo [58]
37Christophe Lemuet [44]
38Judson Leonard [1]
39Scott Lerner [58]
40Wayne Mack [32]
41Sagi Mathai [58]
42Joel McCormack [23]
43Moray McLaren [57] [58] [59]
44Neal Meyer [58]
45April Slayden Mitchell (April Slayden) [32] [35]
46Matteo Monchiero [56] [57]
47Terry Morris [58]
48Jayaram Mudigonda [49]
49Naveen Muralimanohar [50] [53]
50Erwin Oertli [49]
51Charles Otis [58]
52Subbarao Palacharla [18]
53Ronald N. Perry [23]
54Parthasarathy Ranganathan [24] [25] [28] [29] [31] [39] [43] [48] [51] [54]
55Linda Rankin [49]
56Shivarama Rao [33]
57Glenn Reinman [36] [47]
58Paul Rosenberg [58]
59Chris Rowen (Christopher Rowen) [1]
60Suleyman Sair [36] [47]
61Kewal K. Saluja [54]
62Jack Sampson [37] [44] [46]
63C. M. Santori [59]
64Michael S. Schlansker [37] [46] [49]
65R. S. Schreiber [59]
66Robert Schreiber [57]
67Len Seals [58]
68Anahita Shayesteh [36] [47]
69Timothy Sherwood [36] [47]
70Premkishore Shivakumar [26]
71James E. Smith [18] [48] [51] [54]
72S. M. Spillane [59]
73Per Stenström [52]
74Paul M. Stillwell Jr. [49]
75Joseph Straznicky [58]
76Alex Strong [1]
77Michael Tan [58]
78Stan Thomas [32] [35] [42]
79Shyamkumar Thoziyoor [56]
80Dean M. Tullsen [28] [29] [30] [31] [38] [39] [45]
81Dana Vantrease [57] [59]
82Zvonko G. Vranesic [17] [19] [22]
83David W. Wall [7] [8]
84Shih-Yuan Wang [58]
85Steven J. E. Wilton [13]
86Q. Xu [59]
87Sami Yehia [40]
88Jong Souk Yeo [58]

Colors in the list of coauthors

Copyright © Sun May 17 03:24:02 2009 by Michael Ley (ley@uni-trier.de)