dblp.uni-trier.dewww.uni-trier.de

Fabrizio Lombardi

List of publications from the DBLP Bibliography Server - FAQ
Coauthor Index - Ask others: ACM DL/Guide - CiteSeer - CSB - Google - MSN - Yahoo
Home Page

2009
232 Fabrizio Lombardi, Sanjukta Bhanja, Yehia Massoud, R. Iris Bahar: Proceedings of the 19th ACM Great Lakes Symposium on VLSI 2009, Boston Area, MA, USA, May 10-12 2009 ACM 2009
231EEMasaru Fukushi, Susumu Horiguchi, Luke Demoracski, Fabrizio Lombardi: An Efficient Framework for Scalable Defect Isolation in Large Scale Networks of DNA Self-Assembly. J. Electronic Testing 25(1): 11-23 (2009)
230EEMasoud Hashempour, Zahra Mashreghian Arani, Fabrizio Lombardi: Healing DNA Self-Assemblies Using Punctures. J. Electronic Testing 25(1): 25-37 (2009)
229EEXiaojun Ma, Jing Huang, Cecilia Metra, Fabrizio Lombardi: Detecting Multiple Faults in One-Dimensional Arrays of Reversible QCA Gates. J. Electronic Testing 25(1): 39-54 (2009)
228EEFaizal Karim, Marco Ottavi, Hamidreza Hashempour, Vamsi Vankamamidi, Konrad Walus, André Ivanov, Fabrizio Lombardi: Modeling and Evaluating Errors Due to Random Clock Shifts in Quantum-Dot Cellular Automata Circuits. J. Electronic Testing 25(1): 55-66 (2009)
2008
227EESheng Lin, Yong-Bin Kim, Fabrizio Lombardi: A low leakage 9t sram cell for ultra-low power operation. ACM Great Lakes Symposium on VLSI 2008: 123-126
226EEVamsi Vankamamidi, Fabrizio Lombardi: Design of defect tolerant tile-based QCA circuits. ACM Great Lakes Symposium on VLSI 2008: 237-242
225EEStephen Frechette, Fabrizio Lombardi: Error Detection/Correction in DNA Algorithmic Self-Assembly. DATE 2008: 1079-1082
224EEXiaojun Ma, Fabrizio Lombardi: Fault Tolerant Schemes for QCA Systems. DFT 2008: 236-244
223EEMasoud Hashempour, Zahra Mashreghian Arani, Fabrizio Lombardi: A Tile-Based Error Model for Forward Growth of DNA Self-Assembly. DFT 2008: 516-524
222EEStephen Frechette, Yong-Bin Kim, Fabrizio Lombardi: Checkpointing of Rectilinear Growth in DNA Self-Assembly. DFT 2008: 525-533
221EEYoung Bok Kim, Yong-Bin Kim, Fabrizio Lombardi: Low power 8T SRAM using 32nm independent gate FinFET technology. SoCC 2008: 247-250
220EEMasoud Hashempour, Zahra Mashreghian Arani, Fabrizio Lombardi: A Metric for Assessing the Error Tolerance of Tile Sets for Punctured DNA Self-Assemblies. VTS 2008: 275-282
219EEHamidreza Hashempour, Fabrizio Lombardi: Device Model for Ballistic CNFETs Using the First Conducting Band. IEEE Design & Test of Computers 25(2): 178-186 (2008)
218EEFabrizio Lombardi: State of the Journal. IEEE Trans. Computers 57(1): 1-6 (2008)
217EEMohammad Hosseinabady, Shervin Sharifi, Fabrizio Lombardi, Zainalabedin Navabi: A Selective Trigger Scan Architecture for VLSI Testing. IEEE Trans. Computers 57(3): 316-328 (2008)
216EEVamsi Vankamamidi, Marco Ottavi, Fabrizio Lombardi: A Serial Memory by Quantum-Dot Cellular Automata (QCA). IEEE Trans. Computers 57(5): 606-618 (2008)
215EEKyung Ki Kim, Jing Huang, Yong-Bin Kim, Fabrizio Lombardi: Analysis and Simulation of Jitter Sequences for Testing Serial Data Channels. IEEE Trans. Industrial Informatics 4(2): 134-143 (2008)
214EEVamsi Vankamamidi, Marco Ottavi, Fabrizio Lombardi: Two-Dimensional Schemes for Clocking/Timing of QCA Circuits. IEEE Trans. on CAD of Integrated Circuits and Systems 27(1): 34-44 (2008)
213EEXiaojun Ma, Fabrizio Lombardi: Synthesis of Tile Sets for DNA Self-Assembly. IEEE Trans. on CAD of Integrated Circuits and Systems 27(5): 963-967 (2008)
212EESalvatore Pontarelli, Marco Ottavi, Vamsi Vankamamidi, Gian-Carlo Cardarilli, Fabrizio Lombardi, Adelio Salsano: Analysis and Evaluations of Reliability of Reconfigurable FPGAs. J. Electronic Testing 24(1-3): 105-116 (2008)
211EEXiaojun Ma, Fabrizio Lombardi: Substrate Testing on a Multi-Site/Multi-Probe ATE. J. Electronic Testing 24(1-3): 193-201 (2008)
210EEByunghyun Jang, Yong-Bin Kim, Fabrizio Lombardi: Monomer Control for Error Tolerance in DNA Self-Assembly. J. Electronic Testing 24(1-3): 271-284 (2008)
209EEXiaojun Ma, Jing Huang, Cecilia Metra, Fabrizio Lombardi: Reversible Gates and Testability of One Dimensional Arrays of Molecular QCA. J. Electronic Testing 24(1-3): 297-311 (2008)
208EEXiaojun Ma, Jing Huang, Fabrizio Lombardi: A model for computing and energy dissipation of molecular QCA devices and circuits. JETC 3(4): (2008)
2007
207EENaghmeh Karimi, Shahrzad Mirkhani, Zainalabedin Navabi, Fabrizio Lombardi: RT level reliability enhancement by constructing dynamic TMRS. ACM Great Lakes Symposium on VLSI 2007: 172-175
206EEHamidreza Hashempour, Fabrizio Lombardi: Circuit-level modeling and detection of metallic carbon nanotube defects in carbon nanotube FETs. DATE 2007: 841-846
205EEMasaru Fukushi, Susumu Horiguchi, Luke Demoracski, Fabrizio Lombardi: A Scalable Framework for Defect Isolation of DNA Self-assemlbled Networks. DFT 2007: 391-399
204EEMasoud Hashempour, Zahra Mashreghian Arani, Fabrizio Lombardi: Error Tolerance of DNA Self-Healing Assemblies by Puncturing. DFT 2007: 400-408
203EEJing Huang, Xiaojun Ma, Cecilia Metra, Fabrizio Lombardi: Testing Reversible One-Dimensional QCA Arrays for Multiple Faults. DFT 2007: 469-477
202EEXiaojun Ma, Jing Huang, Fabrizio Lombardi: Error Tolerance in DNA Self-Assembly by (2k-1) x (2k-1) Snake Tile Sets. VTS 2007: 131-140
201EELuca Schiano, Marco Ottavi, Fabrizio Lombardi, Salvatore Pontarelli, Adelio Salsano: On the Analysis of Reed Solomon Coding for Resilience to Transient/Permanent Faults in Highly Reliable Memories CoRR abs/0710.4750: (2007)
200EEFabrizio Lombardi, Cecilia Metra: Guest Editors' Introduction: The State of the Art in Nanoscale CAD. IEEE Design & Test of Computers 24(4): 302-303 (2007)
199EEJing Huang, Mariam Momenzadeh, Fabrizio Lombardi: An Overview of Nanoscale Devices and Circuits. IEEE Design & Test of Computers 24(4): 304-311 (2007)
198EEAndré DeHon, Craig S. Lent, Fabrizio Lombardi: Introduction to the Special Section on Nano Systems and Computing. IEEE Trans. Computers 56(2): 145-146 (2007)
197EEFabrizio Lombardi: Editor's Note. IEEE Trans. Computers 56(6): 721-726 (2007)
196EEJing Huang, Mariam Momenzadeh, Fabrizio Lombardi: Analysis of missing and additional cell defects in sequential quantum-dot cellular automata. Integration 40(4): 503-515 (2007)
195EEJing Huang, Mariam Momenzadeh, Fabrizio Lombardi: On the Tolerance to Manufacturing Defects in Molecular QCA Tiles for Processing-by-wire. J. Electronic Testing 23(2-3): 163-174 (2007)
194EESanjukta Bhanja, Marco Ottavi, Fabrizio Lombardi, Salvatore Pontarelli: QCA Circuits for Robust Coplanar Crossing. J. Electronic Testing 23(2-3): 193-210 (2007)
193EEJing Huang, Mariam Momenzadeh, Fabrizio Lombardi: Design of sequential circuits by quantum-dot cellular automata. Microelectronics Journal 38(4-5): 525-537 (2007)
2006
192EEJing Huang, Mariam Momenzadeh, Fabrizio Lombardi: Defect tolerance of QCA tiles. DATE 2006: 774-779
191EESanjukta Bhanja, Marco Ottavi, Fabrizio Lombardi, Salvatore Pontarelli: Novel designs for thermally robust coplanar crossing in QCA. DATE 2006: 786-791
190EEHamidreza Hashempour, Fabrizio Lombardi: A Novel Methodology for Functional Test Data Compression. DFT 2006: 128-135
189EESalvatore Pontarelli, Marco Ottavi, Vamsi Vankamamidi, Adelio Salsano, Fabrizio Lombardi: Reliability Evaluation of Repairable/Reconfigurable FPGAs. DFT 2006: 227-235
188EEFengming Zhang, Warren Necoechea, Peter Reiter, Yong-Bin Kim, Fabrizio Lombardi: Load Board Designs Using Compound Dot Technique and Phase Detector for Hierarchical ATE Calibrations. DFT 2006: 486-494
187EEXiaojun Ma, Fabrizio Lombardi: Multi-Site and Multi-Probe Substrate Testing on an ATE. DFT 2006: 495-506
186EEXiaojun Ma, Jing Huang, Cecilia Metra, Fabrizio Lombardi: Testing Reversible 1D Arrays for Molecular QCA. DFT 2006: 71-79
185EEByunghyun Jang, Yong-Bin Kim, Fabrizio Lombardi: Error Tolerance of DNA Self-Assembly by Monomer Concentration Control. DFT 2006: 89-97
184EEViktor K. Prasanna, Fabrizio Lombardi: Editors' Note. IEEE Trans. Computers 55(1): 1 (2006)
183EEJien-Chung Lo, Cecilia Metra, Fabrizio Lombardi: Guest Editors' Introduction: Special Section on Design and Test of Systems-on-Chip (SoC). IEEE Trans. Computers 55(2): 97-98 (2006)
182EEMarco Ottavi, Luca Schiano, Fabrizio Lombardi, Douglas Tougaw: HDLQ: A HDL environment for QCA design. JETC 2(4): 243-261 (2006)
2005
181EEHamidreza Hashempour, Fabrizio Lombardi: Two dimensional reordering of functional test data for compression by ATE. ACM Great Lakes Symposium on VLSI 2005: 188-192
180EEVamsi Vankamamidi, Marco Ottavi, Fabrizio Lombardi: Tile-based design of a serial memory in QCA. ACM Great Lakes Symposium on VLSI 2005: 201-206
179EEHamidreza Hashempour, Luca Schiano, Fabrizio Lombardi: Enhancing error resilience for reliable compression of VLSI test data. ACM Great Lakes Symposium on VLSI 2005: 371-376
178EEHamidreza Hashempour, Luca Schiano, Fabrizio Lombardi: Evaluation of Error-Resilience for Reliable Compression of Test Data. DATE 2005: 1284-1289
177EELuca Schiano, Marco Ottavi, Fabrizio Lombardi, Salvatore Pontarelli, Adelio Salsano: On the Analysis of Reed Solomon Coding for Resilience to Transient/Permanent Faults in Highly Reliable Memories. DATE 2005: 580-585
176EEMariam Momenzadeh, Jing Huang, Fabrizio Lombardi: Defect Characterization and Tolerance of QCA Sequential Devices and Circuits. DFT 2005: 199-207
175EEMariam Momenzadeh, Marco Ottavi, Fabrizio Lombardi: Modeling QCA Defects at Molecular-level in Combinational Circuits. DFT 2005: 208-216
174EEKyung Ki Kim, Jing Huang, Yong-Bin Kim, Fabrizio Lombardi: On the Modeling and Analysis of Jitter in ATE Using Matlab. DFT 2005: 285-293
173EEKyung Ki Kim, Yong-Bin Kim, Fabrizio Lombardi: Data Dependent Jitter (DDJ) Characterization Methodology. DFT 2005: 294-304
172EEPedram A. Riahi, Zainalabedin Navabi, Fabrizio Lombardi: Simulating Faults of Combinational IP Core-based SOCs in a PLI Environment. DFT 2005: 389-397
171EEMarco Ottavi, Luca Schiano, Fabrizio Lombardi, Salvatore Pontarelli, Gian-Carlo Cardarilli: Evaluating the Data Integrity of Memory Systems by Configurable Markov Models. ISVLSI 2005: 257-259
170EEMarco Ottavi, Vamsi Vankamamidi, Fabrizio Lombardi, Salvatore Pontarelli, Adelio Salsano: Design of a QCA Memory with Parallel Read/Serial Write. ISVLSI 2005: 292-294
169EER. Iris Bahar, Mehdi Baradaran Tahoori, Sandeep K. Shukla, Fabrizio Lombardi: Guest Editors' Introduction: Challenges for Reliable Design at the Nanoscale. IEEE Design & Test of Computers 22(4): 295-297 (2005)
168EEViktor K. Prasanna, Fabrizio Lombardi: Editor's Note. IEEE Trans. Computers 54(2): 97- (2005)
167EEHamidreza Hashempour, Fabrizio Lombardi: Application of Arithmetic Coding to Compression of VLSI Test Data. IEEE Trans. Computers 54(9): 1166-1177 (2005)
166EEJing Huang, Mehdi Baradaran Tahoori, Fabrizio Lombardi: Fault Tolerance of Switch Blocks and Switch Block Arrays in FPGA. IEEE Trans. VLSI Syst. 13(7): 794-807 (2005)
165EEMariam Momenzadeh, Jing Huang, Mehdi Baradaran Tahoori, Fabrizio Lombardi: Characterization, test, and logic synthesis of and-or-inverter (AOI) gate design for QCA implementation. IEEE Trans. on CAD of Integrated Circuits and Systems 24(12): 1881-1893 (2005)
164EEJing Huang, Mehdi Baradaran Tahoori, Fabrizio Lombardi: A probabilistic analysis of fault tolerance for switch block array in FPGAs. IJES 1(3/4): 250-262 (2005)
163EEGian-Carlo Cardarilli, Fabrizio Lombardi, Marco Ottavi, Salvatore Pontarelli, Marco Re, Adelio Salsano: A Comparative Evaluation of Designs for Reliable Memory Systems. J. Electronic Testing 21(4): 429-444 (2005)
162EEJing Huang, Mariam Momenzadeh, Luca Schiano, Marco Ottavi, Fabrizio Lombardi: Tile-based QCA design using majority-like logic primitives. JETC 1(3): 163-185 (2005)
2004
161EEMarco Ottavi, Xiaopeng Wang, Fred J. Meyer, Fabrizio Lombardi: Simulation of reconfigurable memory core yield. ACM Great Lakes Symposium on VLSI 2004: 136-140
160EEJing Huang, Mariam Momenzadeh, Mehdi Baradaran Tahoori, Fabrizio Lombardi: Design and characterization of an and-or-inverter (AOI) gate for QCA implementation. ACM Great Lakes Symposium on VLSI 2004: 426-429
159EEHamidreza Hashempour, Fabrizio Lombardi: Evaluation of heuristic techniques for test vector ordering. ACM Great Lakes Symposium on VLSI 2004: 96-99
158EET. Feng, Byoungjae Jin, J. Wang, Nohpill Park, Yong-Bin Kim, Fabrizio Lombardi: Fault tolerant clockless wave pipeline design. Conf. Computing Frontiers 2004: 350-356
157EEJing Huang, Mehdi Baradaran Tahoori, Fabrizio Lombardi: Fault Tolerance of Programmable Switch Blocks. DATE 2004: 1358-1359
156EEMehdi Baradaran Tahoori, Fabrizio Lombardi: Testing of Quantum Dot Cellular Automata Based Designs. DATE 2004: 1408-1409
155EELuca Schiano, Yong-Bin Kim, Fabrizio Lombardi: Scan Test of IP Cores in an ATE Environment. DELTA 2004: 281-286
154EEXiaopeng Wang, Marco Ottavi, Fred J. Meyer, Fabrizio Lombardi: On The Yield of Compiler-Based eSRAMs. DFT 2004: 11-19
153EEXiaopeng Wang, Marco Ottavi, Fabrizio Lombardi: Testing of Inter-Word Coupling Faults in Word-Oriented SRAMs. DFT 2004: 111-119
152EEHamidreza Hashempour, Fabrizio Lombardi: Compression of VLSI Test Data by Arithmetic Coding. DFT 2004: 150-157
151EEJing Huang, Mariam Momenzadeh, Mehdi Baradaran Tahoori, Fabrizio Lombardi: Defect Characterization for Scaling of QCA Devices. DFT 2004: 30-38
150EEHamidreza Hashempour, Luca Schiano, Fabrizio Lombardi: Error-Resilient Test Data Compression Using Tunstall Codes. DFT 2004: 316-323
149EET. Feng, Nohpill Park, Yong-Bin Kim, Fabrizio Lombardi, Fred J. Meyer: Reliability Modeling and Assurance of Clockless Wave Pipeline. DFT 2004: 442-450
148EEShanrui Zhang, Minsu Choi, Nohpill Park, Fabrizio Lombardi: Probabilistic Balancing of Fault Coverage and Test Cost in Combined Built-In Self-Test/Automated Test Equipment Testing Environment. DFT 2004: 48-56
147EEJing Huang, Mehdi Baradaran Tahoori, Fabrizio Lombardi: On the Defect Tolerance of Nano-Scale Two-Dimensional Crossbars. DFT 2004: 96-104
146EEJing Huang, Mehdi Baradaran Tahoori, Fabrizio Lombardi: Probabilistic Analysis of Fault Tolerance of FPGA Switch Block Array. IPDPS 2004
145EEMariam Momenzadeh, Mehdi Baradaran Tahoori, Jing Huang, Fabrizio Lombardi: Quantum Cellular Automata: New Defects and Faults for New Devices. IPDPS 2004
144EEJing Huang, Mehdi Baradaran Tahoori, Fabrizio Lombardi: Routability and Fault Tolerance of FPGA Interconnect Architectures. ITC 2004: 479-488
143EELuca Schiano, Marco Ottavi, Fabrizio Lombardi: Markov Models of Fault-Tolerant Memory Systems under SEU. MTDT 2004: 38-43
142EEMehdi Baradaran Tahoori, Mariam Momenzadeh, Jing Huang, Fabrizio Lombardi: Defects and Faults in Quantum Cellular Automata at Nano Scale. VTS 2004: 291-296
141EEAndré Ivanov, Fabrizio Lombardi, Cecilia Metra: Guest Editors' Introduction: Advances in VLSI Testing at MultiGbps Rates. IEEE Design & Test of Computers 21(4): 274-276 (2004)
140EEBin Liu, Fabrizio Lombardi, Nohpill Park, Minsu Choi: Testing Layered Interconnection Networks. IEEE Trans. Computers 53(6): 710-722 (2004)
139EEJun Zhao, Fred J. Meyer, Nohpill Park, Fabrizio Lombardi: Sequential diagnosis of processor array systems. IEEE Transactions on Reliability 53(4): 487-498 (2004)
138EEZainalabedin Navabi, Shahrzad Mirkhani, Meisam Lavasani, Fabrizio Lombardi: Using RT Level Component Descriptions for Single Stuck-at Hierarchical Fault Simulation. J. Electronic Testing 20(6): 575-589 (2004)
137EEMinsu Choi, Nohpill Park, Vincenzo Piuri, Yong-Bin Kim, Fabrizio Lombardi: Balanced dual-stage repair for dependable embedded memory cores. Journal of Systems Architecture 50(5): 281-285 (2004)
2003
136EEPedram A. Riahi, Zainalabedin Navabi, Fabrizio Lombardi: The VPI-Based Combinational IP Core Module-Based Mixed Level Serial Fault Simulation and Test Generation Methodology. Asian Test Symposium 2003: 274-277
135EEHamidreza Hashempour, Fabrizio Lombardi: ATE-Amenable Test Data Compression with No Cyclic Scan. DFT 2003: 151-158
134EEFengming Zhang, Young-Jun Lee, T. Kane, Luca Schiano, Mariam Momenzadeh, Yong-Bin Kim, Fred J. Meyer, Fabrizio Lombardi, S. Max, Phil Perkinson: A Digital and Wide Power Bandwidth H-Field Generator for Automatic Test Equipment. DFT 2003: 159-166
133EEXiaopeng Wang, Marco Ottavi, Fabrizio Lombardi: Yield Analysis of Compiler-Based Arrays of Embedded SRAMs. DFT 2003: 3-10
132EELuca Schiano, Fabrizio Lombardi: On the Test and Diagnosis of the Perfect Shuffle. DFT 2003: 97-104
131 Pedram A. Riahi, Zainalabedin Navabi, Fabrizio Lombardi: Using Verilog VPI for Mixed Level Serial Fault Simulation in a Test Generation Environment. Embedded Systems and Applications 2003: 139-143
130EEHamidreza Hashempour, Fred J. Meyer, Fabrizio Lombardi, Farzin Karimi: Hybrid Multisite Testing at Manufacturing. ITC 2003: 927-936
129EEMinsu Choi, Nohpill Park, Fabrizio Lombardi, Yong-Bin Kim, Vincenzo Piuri: Optimal Spare Utilization in Repairable and Reliable Memory Cores. MTDT 2003: 64-71
128EEMinsu Choi, Noh-Jin Park, K. M. George, Byoungjae Jin, Nohpill Park, Yong-Bin Kim, Fabrizio Lombardi: Fault Tolerant Memory Design for HW/SW Co-Reliability in Massively Parallel Computing Systems. NCA 2003: 341-
127EESoha Hassoun, Yong-Bin Kim, Fabrizio Lombardi: Guest Editors' Introduction: Clockless VLSI Systems. IEEE Design & Test of Computers 20(6): 5-8 (2003)
126EEWenyi Feng, Fred J. Meyer, Fabrizio Lombardi: Adaptive Algorithms for Maximal Diagnosis of Wiring Interconnects. IEEE Trans. Computers 52(10): 1259-1270 (2003)
125EEJun Zhao, Fred J. Meyer, Fabrizio Lombardi, Nohpill Park: Maximal diagnosis of interconnects of random access memories. IEEE Transactions on Reliability 52(4): 423-434 (2003)
124EEFarzin Karimi, V. Swamy Irrinki, T. Crosby, Nohpill Park, Fabrizio Lombardi: Parallel testing of multi-port static random access memories. Microelectronics Journal 34(1): 3-21 (2003)
2002
123EEFarzin Karimi, Waleed Meleis, Zainalabedin Navabi, Fabrizio Lombardi: Data Compression for System-on-Chip Testing Using ATE. DFT 2002: 166-176
122EEHamidreza Hashempour, Fred J. Meyer, Fabrizio Lombardi: Test Time Reduction in a Manufacturing Environment by Combining BIST and ATE. DFT 2002: 186-194
121EEFabrizio Lombardi, Nohpill Park: Testing Layered Interconnection Networks. DFT 2002: 293-304
120EEMinsu Choi, Nohpill Park, Fabrizio Lombardi, Yong-Bin Kim, Vincenzo Piuri: Balanced Redundancy Utilization in Embedded Memory Cores for Dependable Systems. DFT 2002: 419-427
119EEY. Chang, Minsu Choi, Nohpill Park, Fabrizio Lombardi: Repairability Evaluation of Embedded Multiple Region DRAMs. DFT 2002: 428-436
118EEFarzin Karimi, Fabrizio Lombardi: A Scan-Bist Environment for Testing Embedded Memories. IOLTW 2002: 211-
117EEMinsu Choi, Nohpill Park, Fabrizio Lombardi: Hardware-Software Co-Reliability in Field Reconfigurable Multi-Processor-Memory Systems. IPDPS 2002
116EEFarzin Karimi, Fred J. Meyer, Fabrizio Lombardi: Random Testing of Multi-Port Static Random Access Memories. MTDT 2002: 101-108
115EEFarzin Karimi, Fabrizio Lombardi: A Scan-Bist Environment for Testing Embedded Memories. MTDT 2002: 17-
114EEMinsu Choi, Nohpill Park, Yong-Bin Kim, Fabrizio Lombardi: Hardware/Software Co-Reliability of Configurable Digital Systems. PRDC 2002: 67-74
113EEJun Zhao, Fred J. Meyer, Fabrizio Lombardi: Analyzing and Diagnosing Interconnect Faults in Bus-Structured Systems. IEEE Design & Test of Computers 19(1): 54-64 (2002)
112EEDimiter R. Avresky, Barry W. Johnson, Fabrizio Lombardi: Guest Editors' Introduction. IEEE Trans. Computers 51(2): 97-99 (2002)
2001
111EEXiao-Tao Chen, Wei-Kang Huang, Nohpill Park, Fred J. Meyer, Fabrizio Lombardi: Novel Approaches for Fault Detection in Two-Dimensional Combinational Arrays. DFT 2001: 161-169
110EEFarzin Karimi, Fabrizio Lombardi: Parallel Testing of Multi-port Static Random Access Memories for BIST. DFT 2001: 271-279
109EEFarzin Karimi, Fabrizio Lombardi, V. Swamy Irrinki, T. Crosby: A Parallel Approach for Testing Multi-Port Static Random Access Memories. MTDT 2001: 73-
108EEMohammad A. Al-Hashimi, Huay-min H. Pu, Nohpill Park, Fabrizio Lombardi: Dependability under Malicious Agreement in N-modular Redundancy-on-Demand Systems. NCA 2001: 80-93
107EEMinsu Choi, Nohpill Park, Fred J. Meyer, Fabrizio Lombardi: Connectivity-Based Multichip Module Repair. PRDC 2001: 19-26
106EEFabrizio Lombardi, Nohpill Park, Mohammad A. Al-Hashimi, Huay-min H. Pu: Modeling the Dependability of N-Modular Redundancy on Demand under Malicious Agreement. PRDC 2001: 68-75
105 Fabrizio Lombardi, Cecilia Metra: Guest Editors' Introduction: Defect-Oriented Diagnosis for Very Deep-Submicron Systems. IEEE Design & Test of Computers 18(1): 8-9 (2001)
104EEDimiter R. Avresky, Fabrizio Lombardi, Karl-Erwin Großpietsch, Barry W. Johnson: Guest Editors' Introduction: Fault-Tolerant Embedded Systems. IEEE Micro 21(5): 12-15 (2001)
103EEWenyi Feng, Farzin Karimi, Fabrizio Lombardi: Fault Detection in a Tristate System Environment. IEEE Micro 21(5): 77-85 (2001)
102EEHaldun Hadimioglu, David R. Kaeli, Fabrizio Lombardi: Introduction to the Special Section on High Performance Memory Systems. IEEE Trans. Computers 50(11): 1103-1104 (2001)
2000
101EEBin Liu, Fabrizio Lombardi, Wei-Kang Huang: Testing programmable interconnect systems: an algorithmic approach. Asian Test Symposium 2000: 311-316
100EEW. Shi, K. Kumar, Fabrizio Lombardi: On the Complexity of Switch Programming in Fault-Tolerant-Configurable Chips. DFT 2000: 125-134
99EENohpill Park, S. J. Ruiwale, Fabrizio Lombardi: Testing the Configurability of Dynamic FPGAs. DFT 2000: 311-319
98EENohpill Park, Fred J. Meyer, Fabrizio Lombardi: Quality-Effective Repair of Multichip Module Systems. DFT 2000: 47-55
97EEWenyi Feng, Fred J. Meyer, Fabrizio Lombardi: Complexity Bounds for Lookup Table Implementation of Factored Forms in FPGA Technology Mapping. IPDPS Workshops 2000: 951-958
96EEJun Zhao, Fred J. Meyer, Fabrizio Lombardi: Diagnosing the Interconnect of Bus-Connected Multi-RAM Systems under Restricted and General Fault Models. MTDT 2000: 14-19
95EEJun Zhao, V. Swamy Irrinki, Mukesh Puri, Fabrizio Lombardi: Detection of Inter-Port Faults in Multi-Port Static RAMs. VTS 2000: 297-304
94EEWei-Kang Huang, Fred J. Meyer, Fabrizio Lombardi: An Approach for Detecting Multiple Faulty FPGA Logic Blocks. IEEE Trans. Computers 49(1): 48-54 (2000)
93EEJun Zhao, V. Swamy Irrinki, Mukesh Puri, Fabrizio Lombardi: Testing SRAM-Based Content Addressable Memories. IEEE Trans. Computers 49(10): 1054-1063 (2000)
92EEFabrizio Lombardi, Mariagiovanna Sami: Guest Editors' Introduction. IEEE Trans. Computers 49(6): 529-531 (2000)
91EETong Liu, Wei-Kang Huang, Fred J. Meyer, Fabrizio Lombardi: Testing and testable designs for one-time programmable FPGAs. IEEE Trans. on CAD of Integrated Circuits and Systems 19(11): 1370-1375 (2000)
1999
90EEYinlei Yu, Jian Xu, Wei-Kang Huang, Fabrizio Lombardi: Diagnosing Single Faults for Interconnects in SRAM Based FPGAs. ASP-DAC 1999: 283-286
89EEYinlei Yu, Jian Xu, Wei-Kang Huang, Fabrizio Lombardi: Minimizing the Number of Programming Steps for Diagnosis of Interconnect Faults in FPGAs. Asian Test Symposium 1999: 357-362
88EELan Zhao, D. M. H. Walker, Fabrizio Lombardi: IDDQ Testing of Input/Output Resources of SRAM-Based FPGAs. Asian Test Symposium 1999: 375-
87EEWenyi Feng, Wei-Kang Huang, Fred J. Meyer, Fabrizio Lombardi: A BIST TPG Approach for Interconnect Testing With the IEEE 1149.1 STD. Asian Test Symposium 1999: 95-100
86EEWenyi Feng, Fred J. Meyer, Fabrizio Lombardi: Novel Control Pattern Generators for Interconnect Testing with Boundary Scan. DFT 1999: 112-120
85EENohpill Park, Fabrizio Lombardi: Stratified Testing of Multichip Module Systems under Uneven Known-Good-Yield. DFT 1999: 192-200
84EEFred J. Meyer, Fabrizio Lombardi, Jun Zhao: Good Processor Identification in Two-Dimensional Grids. DFT 1999: 348-356
83EEWenyi Feng, Xiao-Tao Chen, Fred J. Meyer, Fabrizio Lombardi: Reconfiguration of One-Time Programmable FPGAs with Faulty Logic Resources. DFT 1999: 368-376
82EEWenyi Feng, Fred J. Meyer, Fabrizio Lombardi: Two-Step Algorithms for Maximal Diagnosis of Wiring Interconnects. FTCS 1999: 130-137
81EEJun Zhao, Fred J. Meyer, Fabrizio Lombardi: Interconnect Diagnosis of Bus-Connected Multi-RAM Systems. MTDT 1999: 40-47
80EEJian Xu, Paifa Si, Weikang Huang, Fabrizio Lombardi: A Novel Fault Tolerant Approach for SRAM-Based FPGAs. PRDC 1999: 40-44
79EEJun Zhao, Fred J. Meyer, Fabrizio Lombardi: Maximal Diagnosis of Interconnects of Random Access Memories. VTS 1999: 378-383
78 Bruce F. Cockburn, Fabrizio Lombardi, Fred J. Meyer: Guest Editors' Introduction: DRAM Architecture and Testing. IEEE Design & Test of Computers 16(1): 19-21 (1999)
77EEXiao-Tao Chen, Wei-Kang Huang, Nohpill Park, Fred J. Meyer, Fabrizio Lombardi: Design Verification of FPGA Implementations. IEEE Design & Test of Computers 16(2): 66-73 (1999)
76EETong Liu, Xiao-Tao Chen, Fred J. Meyer, Fabrizio Lombardi: Test generation and scheduling for layout-based detection of bridge faults in interconnects. IEEE Trans. VLSI Syst. 7(1): 48-55 (1999)
75EEJun Zhao, Fred J. Meyer, Fabrizio Lombardi: Adaptive Fault Detection and Diagnosis of RAM Interconnects. J. Electronic Testing 15(1-2): 157-171 (1999)
1998
74EEWenyi Feng, Wei-Kang Huang, Fred J. Meyer, Fabrizio Lombardi: Fault Detection in a Tristate System Environment. Asian Test Symposium 1998: 253-258
73EEYinlei Yu, Jian Xu, Wei-Kang Huang, Fabrizio Lombardi: A Diagnosis Method for Interconnects in SRAM Based FPGAs. Asian Test Symposium 1998: 278-282
72EEY. Bellan, Mario Costa, Giancarlo Ferrigno, Fabrizio Lombardi, Luca Macchiarulo, Alfonso Montuori, Eros Pasero, Camilla Rigotti: Artificial Neural Networks for Motion Emulation in Virtual Environments. CAPTECH 1998: 83-99
71EEAvinash Munshi, Fred J. Meyer, Fabrizio Lombardi: A New Method for Testing EEPLA's. DFT 1998: 146-154
70EEWenyi Feng, Fred J. Meyer, Wei-Kang Huang, Fabrizio Lombardi: On the Complexity of Sequential Testing in Configurable FPGAs. DFT 1998: 164-
69EELan Zhao, D. M. H. Walker, Fabrizio Lombardi: Bridging Fault Detection in FPGA Interconnects Using IDDQ. FPGA 1998: 95-104
68EELan Zhao, D. M. H. Walker, Fabrizio Lombardi: Detection of bridging faults in logic resources of configurable FPGAs using I_DDQ. ITC 1998: 1037-
67EEJun Zhao, Fred J. Meyer, Fabrizio Lombardi: Fault Detection and Diagnosis of Interconnects of Random Access Memories. VTS 1998: 42-47
66EEXiao-Tao Chen, Fred J. Meyer, Fabrizio Lombardi: Structural diagnosis of interconnects by coloring. ACM Trans. Design Autom. Electr. Syst. 3(2): 249-271 (1998)
65 Fabrizio Lombardi: Field-Programmable Gate Arrays. IEEE Design & Test of Computers 15(1): 8-9 (1998)
64EELan Zhao, D. M. H. Walker, Fabrizio Lombardi: IDDQ Testing of Bridging Faults in Logic Resources of Reconfigurable Field Programmable Gate Arrays. IEEE Trans. Computers 47(10): 1136-1152 (1998)
63EEWei-Kang Huang, Fred J. Meyer, Xiao-Tao Chen, Fabrizio Lombardi: Testing configurable LUT-based FPGA's. IEEE Trans. VLSI Syst. 6(2): 276-283 (1998)
1997
62EEWei-Kang Huang, M. Y. Zhang, Fred J. Meyer, Fabrizio Lombardi: A XOR-Tree Based Technique for Constant Testability of Configurable FPGAs. Asian Test Symposium 1997: 248-253
61EEWei Liang Huang, Fred J. Meyer, Fabrizio Lombardi: Multiple fault detection in logic resources of FPGAs. DFT 1997: 186-194
60EED. G. Ashen, Fred J. Meyer, Nohpill Park, Fabrizio Lombardi: Testing of programmable logic devices (PLD) with faulty resources. DFT 1997: 76-84
59 Fred J. Meyer, Xiao-Tao Chen, Wei-Kang Huang, Fabrizio Lombardi: Using Virtual Links for Reliable Information Retrieval Across Point-to-Point Networks. FTCS 1997: 216-225
58 X. Tan, J. Tong, P. Tan, Nohpill Park, Fabrizio Lombardi: An Efficient Multi-Way Algorithm for Balanced Partitioning of VLSI Circuits. ICCD 1997: 608-613
57EEYinan N. Shen, Xiao-Tao Chen, Susumu Horiguchi, Fabrizio Lombardi: On the multiple fault diagnosis of multistage interconnection networks: the lower bound and the CMOS fault model. ICPP 1997: 350-
56EEXiao-Tao Chen, Fred J. Meyer, Fabrizio Lombardi: On the Fault Coverage of Interconnect Diagnosis. VTS 1997: 101-109
1996
55EEFabrizio Lombardi, David Ashen, Xiao-Tao Chen, Wei-Kang Huang: Diagnosing Programmable Interconnect Systems for FPGAs. FPGA 1996: 100-106
54EEXiao-Tao Chen, Fabrizio Lombardi: A coloring approach to the structural diagnosis of interconnects. ICCAD 1996: 676-680
53EEYinan N. Shen, Nohpill Park, Fabrizio Lombardi: Space Cutting Approaches for Repairing Memories. ICCD 1996: 106-111
52EEJosé Salinas, Nohpill Park, U. Arunkumar, Fabrizio Lombardi: Conformance Testing of Time-Dependent Protocols. ICECCS 1996: 257-264
51EEWei-Kang Huang, Xiao-Tao Chen, Fabrizio Lombardi: On the diagnosis of programmable interconnect systems: Theory and application. VTS 1996: 204-211
50EEWei-Kang Huang, Fabrizio Lombardi: An approach for testing programmable/configurable field programmable gate arrays. VTS 1996: 450-455
49 Chao Feng, Laxmi N. Bhuyan, Fabrizio Lombardi: Adaptive System-Level Diagnosis for Hypercube Multiprocessors. IEEE Trans. Computers 45(10): 1157-1170 (1996)
48 José Salinas, Yinan N. Shen, Fabrizio Lombardi: A Sweeping Line Approach to Interconnect Testing. IEEE Trans. Computers 45(8): 917-929 (1996)
47EEYinan N. Shen, Fabrizio Lombardi: Graph Algorithms for Conformance Testing Using the Rural ChinesePostman Tour. SIAM J. Discrete Math. 9(4): 511-529 (1996)
1995
46EETong Liu, Wei-Kang Huang, Fabrizio Lombardi: Testing of Uncustomized Segmented Channel Field Programmable Gate Arrays. FPGA 1995: 125-131
45 Chao Feng, Wei-Kang Huang, Fabrizio Lombardi: A New Diagnosis Approach for Short Faults in Interconnects. FTCS 1995: 331-339
44 V. Purohit, Fabrizio Lombardi, Susumu Horiguchi, J. H. Kim: Diagnosing Multiple Bridge Faults in Baseline Multistage Interconnection Networks. ICPP (1) 1995: 131-135
43 Tong Liu, Wei-Kang Huang, Fabrizio Lombardi, Laxmi N. Bhuyan: A Submesh Allocation Scheme for Mesh-Connected Multiprocessor Systems. ICPP (2) 1995: 159-163
42EEAmitabh Mishra, Yeimkuan Chang, Laxmi N. Bhuyan, Fabrizio Lombardi: Fault-tolerant sorting in SIMD hypercubes. IPPS 1995: 312-318
41EETong Liu, Fabrizio Lombardi, José Salinas: Diagnosis of interconnects and FPICs using a structured walking-1 approach. VTS 1995: 256-261
1994
40 Yinan N. Shen, Hannu Kari, S. S. Kim, Fabrizio Lombardi: Scheduling Policies for Fault Tolerance in a VLSI Processor. DFT 1994: 1-9
39 Tong Liu, Fabrizio Lombardi: On Soft Switch Programming for Reconfigurable Array Systems. DFT 1994: 203-211
38 José Salinas, Fabrizio Lombardi: Rank Order Filtering on an Array With Faulty Processors. ICPP (1) 1994: 236-240
37 D. Schin, Yinan N. Shen, Fabrizio Lombardi: An Approach for UIO Generation for FSM Verification and Validation. ISCAS 1994: 303-306
36 Hannu Kari, Heikki Saikkonen, Fabrizio Lombardi: Detecting Latent Sector Faults in Modern SCSI Disks. MASCOTS 1994: 403-404
35EEHannu Kari, José Salinas, Fabrizio Lombardi: Generating non-standard random distributions for discrete event simulation systems. Simul. Pr. Theory 1(4): 173-193 (1994)
1993
34 Fabrizio Lombardi, Mariagiovanna Sami, Yvon Savaria, Renato Stefanelli: The IEEE International Workshop on Defect and Fault Tolerance in VLSI Systems, October 27-29, 1993, Venice, Italy, Proceedings IEEE Computer Society 1993
33 Hannu Kari, Heikki Saikkonen, Fabrizio Lombardi: Detection of Defective Media in Disks. DFT 1993: 49-55
32 José Salinas, Fabrizio Lombardi: On the Reconfigurable Operation of Arrays with Defects for Image Processing. DFT 1993: 88-95
31 José Salinas, Fabrizio Lombardi: Emulating Reconfigurable Arrays for Image Processing Using the MasPar Architecture. ICPP 1993: 141-148
30 Chao Feng, Laxmi N. Bhuyan, Fabrizio Lombardi: An Adaptive System-Level Diagnosis Approach for Mesh Connected Multiprocessors. ICPP 1993: 153-157
29 Hannu Kari, Heikki Saikkonen, Fabrizio Lombardi: On the Methods to Detect Sector Faults of a Disk Subsystem. MASCOTS 1993: 317-322
28 Chao Feng, Laxmi N. Bhuyan, Fabrizio Lombardi: An Adaptive System-Level Diagnosis Approach for Hypercube Multiprocessors. SPDP 1993: 460-469
27EEH. Lin, Fabrizio Lombardi, M. Lu: On the optimal reconfiguration of multipipeline arrays in the presence of faulty processing and switching elements. IEEE Trans. VLSI Syst. 1(1): 76-79 (1993)
26EEChao Feng, Jon C. Muzio, Fabrizio Lombardi: On the testability of array structures for FFT computation. J. Electronic Testing 4(3): 215-224 (1993)
1992
25 X. Sun, Yinan N. Shen, Fabrizio Lombardi: On the Verification and Validation of Protocols with High Fault Coverage Using UIO Sequences. SRDS 1992: 196-203
24 Fabrizio Lombardi, Chao Feng, Wei-Kang Huang: Detection and Location of Multiple Faults in Baseline Interconnection Networks. IEEE Trans. Computers 41(10): 1340-1344 (1992)
23EEFabrizio Lombardi, Donatella Sciuto: Constant testability of combinational cellular tree structures. J. Electronic Testing 3(2): 139-148 (1992)
1991
22 X. Sun, Yinan N. Shen, Fabrizio Lombardi, Donatella Sciuto: Protocol Conformance Testing by Discriminating UIO Sequences. PSTV 1991: 349-364
1990
21 Peter Koo, Fabrizio Lombardi, Donatella Sciuto: A Routing Algorithm for Harvesting Multipipeline Arrays with Small Intercell and Pipeline Delays. ICCAD 1990: 2-5
20EEYinan N. Shen, Fabrizio Lombardi, Donatella Sciuto: Evaluation and improvement of fault coverage for verification and validation of protocols. SPDP 1990: 200-207
19EEFabrizio Lombardi, Yinan N. Shen, Jon C. Muzio: On the testability of array structures for FFT computation. SPDP 1990: 519-522
18 Fabrizio Lombardi, Wei-Kang Huang: Fault Detection and Design Complextity in C-Testable VLSI Arrays. IEEE Trans. Computers 39(12): 1477-1481 (1990)
17 Wei-Kang Huang, Fabrizio Lombardi: On the Constant Diagnosability of Baseline Interconnection Networks. IEEE Trans. Computers 39(12): 1485-1488 (1990)
16EEWei-Kang Huang, Yinan N. Shen, Fabrizio Lombardi: New approaches for the repairs of memories with redundancy by row/column deletion for yield enhancement. IEEE Trans. on CAD of Integrated Circuits and Systems 9(3): 323-328 (1990)
15EEYinan N. Shen, Fabrizio Lombardi: Yield enhancement and manufacturing throughput of redundant memories by repairability/unrepairability detection. J. Electronic Testing 1(1): 43-57 (1990)
1989
14 Yinan N. Shen, Fabrizio Lombardi: Location and Identification for Single and Multiple Faults in Testable Redundant PLAs for Yield Enhancement. ITC 1989: 670-678
13 Yinan N. Shen, Fabrizio Lombardi, Anton T. Dahbura: Protocol Conformance Testing Using Multiple UIO Sequences. PSTV 1989: 131-143
12EEFabrizio Lombardi, Mariagiovanna Sami, Renato Stefanelli: Reconfiguration of VLSI arrays by covering. IEEE Trans. on CAD of Integrated Circuits and Systems 8(9): 952-965 (1989)
1988
11 Salih Yurttas, Fabrizio Lombardi: New Approaches for the Reconfiguration of Two-Dimensional VLSI Arrays Using Time-Redundancy. IEEE Real-Time Systems Symposium 1988: 212-221
10 Fabrizio Lombardi: Analysis of Comparison-Based Diagnosable Systems Using Temporal Criteria. Comput. J. 31(3): 201-208 (1988)
9 Donatella Sciuto, Fabrizio Lombardi: On Functional Testing of Array Processors. IEEE Trans. Computers 37(11): 1480-1484 (1988)
8EEFabrizio Lombardi, Donatella Sciuto, Renato Stefanelli: An algorithm for functional reconfiguration of fixed-size arrays. IEEE Trans. on CAD of Integrated Circuits and Systems 7(10): 1114-1118 (1988)
7EEWei-Kang Huang, Fabrizio Lombardi: On an improved design approach for C-testable orthogonal iterative arrays. IEEE Trans. on CAD of Integrated Circuits and Systems 7(5): 609-615 (1988)
1987
6 Fabrizio Lombardi, Donatella Sciuto, Renato Stefanelli: A Technique for Reconfiguring Two Dimensional VLSI Arrays. IEEE Real-Time Systems Symposium 1987: 44-53
5 Chin-Long Wey, Fabrizio Lombardi: On a Novel Self-Test Approach to Digital Testing. Comput. J. 30(3): 258-267 (1987)
4EEChin-Long Wey, Fabrizio Lombardi: On the Repair of Redundant RAM's. IEEE Trans. on CAD of Integrated Circuits and Systems 6(2): 222-231 (1987)
3 A. Kovaleski, S. Ratheal, Fabrizio Lombardi: An Architecture and an Interconnection Scheme for Time-Sliced Buses. J. Parallel Distrib. Comput. 4(2): 209-229 (1987)
1986
2 A. Kovaleski, S. Ratheal, Fabrizio Lombardi: An Architecture and an Interconnection Scheme for Time-Sliced Buses in Real-Time Processing. IEEE Real-Time Systems Symposium 1986: 20-27
1985
1 Fabrizio Lombardi, Chin-Long Wey: On a Multiprocessor System with Dynamic Redundancy. IEEE Real-Time Systems Symposium 1985: 3-12

Coauthor Index

1Mohammad A. Al-Hashimi [106] [108]
2Zahra Mashreghian Arani [204] [220] [223] [230]
3U. Arunkumar [52]
4D. G. Ashen [60]
5David Ashen [55]
6Dimiter R. Avresky [104] [112]
7R. Iris Bahar [169] [232]
8Y. Bellan [72]
9Sanjukta Bhanja [191] [194] [232]
10Laxmi N. Bhuyan [28] [30] [42] [43] [49]
11Gian-Carlo Cardarilli [163] [171] [212]
12Y. Chang [119]
13Yeimkuan Chang [42]
14Xiao-Tao Chen [51] [54] [55] [56] [57] [59] [63] [66] [76] [77] [83] [111]
15Minsu Choi [107] [114] [117] [119] [120] [128] [129] [137] [140] [148]
16Bruce F. Cockburn [78]
17Mario Costa [72]
18T. Crosby [109] [124]
19Anton T. Dahbura [13]
20André DeHon [198]
21Luke Demoracski [205] [231]
22Chao Feng [24] [26] [28] [30] [45] [49]
23T. Feng [149] [158]
24Wenyi Feng [70] [74] [82] [83] [86] [87] [97] [103] [126]
25Giancarlo Ferrigno [72]
26Stephen Frechette [222] [225]
27Masaru Fukushi [205] [231]
28K. M. George [128]
29Karl-Erwin Großpietsch [104]
30Haldun Hadimioglu [102]
31Hamidreza Hashempour [122] [130] [135] [150] [152] [159] [167] [178] [179] [181] [190] [206] [219] [228]
32Masoud Hashempour [204] [220] [223] [230]
33Soha Hassoun [127]
34Susumu Horiguchi [44] [57] [205] [231]
35Mohammad Hosseinabady [217]
36Jing Huang [142] [144] [145] [146] [147] [151] [157] [160] [162] [164] [165] [166] [174] [176] [186] [192] [193] [195] [196] [199] [202] [203] [208] [209] [215] [229]
37Wei Liang Huang [61]
38Wei-Kang Huang [7] [16] [17] [18] [24] [43] [45] [46] [50] [51] [55] [59] [62] [63] [70] [73] [74] [77] [87] [89] [90] [91] [94] [101] [111]
39Weikang Huang [80]
40V. Swamy Irrinki [93] [95] [109] [124]
41André Ivanov [141] [228]
42Byunghyun Jang [185] [210]
43Byoungjae Jin [128] [158]
44Barry W. Johnson [104] [112]
45David R. Kaeli [102]
46T. Kane [134]
47Hannu Kari [29] [33] [35] [36] [40]
48Faizal Karim [228]
49Farzin Karimi [103] [109] [110] [115] [116] [118] [123] [124] [130]
50Naghmeh Karimi [207]
51J. H. Kim [44]
52Kyung Ki Kim [173] [174] [215]
53S. S. Kim [40]
54Yong-Bin Kim [114] [120] [127] [128] [129] [134] [137] [149] [155] [158] [173] [174] [185] [188] [210] [215] [221] [222] [227]
55Young Bok Kim [221]
56Peter Koo [21]
57A. Kovaleski [2] [3]
58K. Kumar [100]
59Meisam Lavasani [138]
60Young-Jun Lee [134]
61Craig S. Lent [198]
62H. Lin [27]
63Sheng Lin [227]
64Bin Liu [101] [140]
65Tong Liu [39] [41] [43] [46] [76] [91]
66Jien-Chung Lo [183]
67M. Lu [27]
68Xiaojun Ma [186] [187] [202] [203] [208] [209] [211] [213] [224] [229]
69Luca Macchiarulo [72]
70Yehia Massoud [232]
71S. Max [134]
72Waleed Meleis [123]
73Cecilia Metra [105] [141] [183] [186] [200] [203] [209] [229]
74Fred J. Meyer [56] [59] [60] [61] [62] [63] [66] [67] [70] [71] [74] [75] [76] [77] [78] [79] [81] [82] [83] [84] [86] [87] [91] [94] [96] [97] [98] [107] [111] [113] [116] [122] [125] [126] [130] [134] [139] [149] [154] [161]
75Shahrzad Mirkhani [138] [207]
76Amitabh Mishra [42]
77Mariam Momenzadeh [134] [142] [145] [151] [160] [162] [165] [175] [176] [192] [193] [195] [196] [199]
78Alfonso Montuori [72]
79Avinash Munshi [71]
80Jon C. Muzio [19] [26]
81Zainalabedin Navabi [123] [131] [136] [138] [172] [207] [217]
82Warren Necoechea [188]
83Marco Ottavi [133] [143] [153] [154] [161] [162] [163] [170] [171] [175] [177] [180] [182] [189] [191] [194] [201] [212] [214] [216] [228]
84Noh-Jin Park [128]
85Nohpill Park [52] [53] [58] [60] [77] [85] [98] [99] [106] [107] [108] [111] [114] [117] [119] [120] [121] [124] [125] [128] [129] [137] [139] [140] [148] [149] [158]
86Eros Pasero [72]
87Phil Perkinson [134]
88Vincenzo Piuri [120] [129] [137]
89Salvatore Pontarelli [163] [170] [171] [177] [189] [191] [194] [201] [212]
90Viktor K. Prasanna (V. K. Prasanna Kumar) [168] [184]
91Huay-min H. Pu [106] [108]
92Mukesh Puri [93] [95]
93V. Purohit [44]
94S. Ratheal [2] [3]
95Marco Re [163]
96Peter Reiter [188]
97Pedram A. Riahi [131] [136] [172]
98Camilla Rigotti [72]
99S. J. Ruiwale [99]
100Heikki Saikkonen [29] [33] [36]
101José Salinas [31] [32] [35] [38] [41] [48] [52]
102Adelio Salsano [163] [170] [177] [189] [201] [212]
103Mariagiovanna Sami [12] [34] [92]
104Yvon Savaria [34]
105Luca Schiano [132] [134] [143] [150] [155] [162] [171] [177] [178] [179] [182] [201]
106D. Schin [37]
107Donatella Sciuto [6] [8] [9] [20] [21] [22] [23]
108Shervin Sharifi [217]
109Yinan N. Shen [13] [14] [15] [16] [19] [20] [22] [25] [37] [40] [47] [48] [53] [57]
110W. Shi [100]
111Sandeep K. Shukla [169]
112Paifa Si [80]
113Renato Stefanelli [6] [8] [12] [34]
114X. Sun [22] [25]
115Mehdi Baradaran Tahoori [142] [144] [145] [146] [147] [151] [156] [157] [160] [164] [165] [166] [169]
116P. Tan [58]
117X. Tan [58]
118J. Tong [58]
119Douglas Tougaw [182]
120Vamsi Vankamamidi [170] [180] [189] [212] [214] [216] [226] [228]
121D. M. H. Walker (Duncan M. Hank Walker) [64] [68] [69] [88]
122Konrad Walus [228]
123J. Wang [158]
124Xiaopeng Wang [133] [153] [154] [161]
125Chin-Long Wey [1] [4] [5]
126Jian Xu [73] [80] [89] [90]
127Yinlei Yu [73] [89] [90]
128Salih Yurttas [11]
129Fengming Zhang [134] [188]
130M. Y. Zhang [62]
131Shanrui Zhang [148]
132Jun Zhao [67] [75] [79] [81] [84] [93] [95] [96] [113] [125] [139]
133Lan Zhao [64] [68] [69] [88]

Colors in the list of coauthors

Copyright © Sun May 17 03:24:02 2009 by Michael Ley (ley@uni-trier.de)