42. DAC 2005:
San Diego,
CA,
USA
William H. Joyner Jr., Grant Martin, Andrew B. Kahng (Eds.):
Proceedings of the 42nd Design Automation Conference, DAC 2005, San Diego, CA, USA, June 13-17, 2005.
ACM 2005, ISBN 1-59593-058-2 BibTeX
Panel
Error-tolerant design
Microarchitecture-level power analysis and optimization techniques
Leakage analysis and optimization
Analog macromodeling
Panel
Statistical timing analysis
- Hongliang Chang, Vladimir Zolotov, Sambasivan Narayan, Chandu Visweswariah:
Parameterized block-based statistical timing analysis with non-gaussian parameters, nonlinear delay functions.
71-76
Electronic Edition (ACM DL) BibTeX
- Yaping Zhan, Andrzej J. Strojwas, Xin Li, Lawrence T. Pileggi, David Newmark, Mahesh Sharma:
Correlation-aware statistical timing analysis with non-gaussian delay distributions.
77-82
Electronic Edition (ACM DL) BibTeX
- Lizheng Zhang, Weijen Chen, Yuhen Hu, John A. Gubner, Charlie Chung-Ping Chen:
Correlation-preserved non-gaussian statistical timing analysis with quadratic timing model.
83-88
Electronic Edition (ACM DL) BibTeX
- Vishal Khandelwal, Ankur Srivastava:
A general framework for accurate statistical timing analysis considering correlations.
89-94
Electronic Edition (ACM DL) BibTeX
Embedded software
Advances in design-for-testability methods
Advances in boundary element methods for parasitic extraction
- Chenggang Xu, Ranjit Gharpurey, Terri S. Fiez, Kartikeya Mayaram:
A green function-based parasitic extraction method for inhomogeneous substrate layers.
141-146
Electronic Edition (ACM DL) BibTeX
- Xin Hu, Jung Hoon Lee, Jacob White, Luca Daniel:
Analysis of full-wave conductor system impedance over substrate using novel integration techniques.
147-152
Electronic Edition (ACM DL) BibTeX
- Michael W. Beattie, Hui Zheng, Anirudh Devgan, Byron Krauter:
Spatially distributed 3D circuit models.
153-158
Electronic Edition (ACM DL) BibTeX
- Dipanjan Gope, Indranil Chowdhury, Vikram Jandhyala:
DiMES: multilevel fast direct solver based on multipole expansions for parasitic extraction of massively coupled 3D microelectronic structures.
159-162
Electronic Edition (ACM DL) BibTeX
- Rong Jiang, Yi-Hao Chang, Charlie Chung-Ping Chen:
ICCAP: a linear time sparse transformation and reordering algorithm for 3D BEM capacitance extraction.
163-166
Electronic Edition (ACM DL) BibTeX
Management Day Session
Panel
- Naveed A. Sherwani, Susan Lippincott Mack, Alex Alexanian, Premal Buch, Carlo Guardiani, Harold Lehon, Peter Rabkin, Atul Sharan:
DFM rules!
168-169
Electronic Edition (ACM DL) BibTeX
- Hang Li, Zhenyu Qi, Sheldon X.-D. Tan, Lifeng Wu, Yici Cai, Xianlong Hong:
Partitioning-based approach to fast on-chip decap budgeting and minimization.
170-175
Electronic Edition (ACM DL) BibTeX
- Yongqiang Lu, Cliff C. N. Sze, Xianlong Hong, Qiang Zhou, Yici Cai, Liang Huang, Jiang Hu:
Navigating registers in placement for clock network minimization.
176-181
Electronic Edition (ACM DL) BibTeX
- Yow-Tyng Nieh, Shih-Hsu Huang, Sheng-Yu Hsu:
Minimizing peak current via opposite-phase clock tree.
182-185
Electronic Edition (ACM DL) BibTeX
- Haihua Su, David Widiger, Chandramouli V. Kashyap, Frank Liu, Byron Krauter:
A noise-driven effective capacitance method with fast embedded noise rule calculation for functional noise analysis.
186-189
Electronic Edition (ACM DL) BibTeX
- Chong Zhao, Yi Zhao, Sujit Dey:
Constraint-aware robustness insertion for optimal noise-tolerance enhancement in VLSI circuits.
190-195
Electronic Edition (ACM DL) BibTeX
Physical considerations in high-level synthesis
- Rajarshi Mukherjee, Seda Ogrenci Memik, Gokhan Memik:
Temperature-aware resource allocation and binding in high-level synthesis.
196-201
Electronic Edition (ACM DL) BibTeX
- Xiaoyong Tang, Hai Zhou, Prithviraj Banerjee:
Leakage power optimization with dual-Vth library in high-level synthesis.
202-207
Electronic Edition (ACM DL) BibTeX
- Zhenyu (Peter) Gu, Jia Wang, Robert P. Dick, Hai Zhou:
Incremental exploration of the combined physical and behavioral design space.
208-213
Electronic Edition (ACM DL) BibTeX
- M. Saneei, Ali Afzali-Kusha, Zainalabedin Navabi:
Sign bit reduction encoding for low power applications.
214-217
Electronic Edition (ACM DL) BibTeX
- Tingyuan Nie, Tomoo Kisaka, Masahiko Toyonaga:
A watermarking system for IP protection by a post layout incremental router.
218-221
Electronic Edition (ACM DL) BibTeX
Architectures for cryptography and security applications
- Kris Tiri, David Hwang, Alireza Hodjat, Bo-Cheng Lai, Shenglin Yang, Patrick Schaumont, Ingrid Verbauwhede:
A side-channel leakage free coprocessor IC in 0.18µm CMOS for embedded AES-based cryptographic and biometric processing.
222-227
Electronic Edition (ACM DL) BibTeX
- Kris Tiri, Ingrid Verbauwhede:
Simulation models for side-channel information leaks.
228-233
Electronic Edition (ACM DL) BibTeX
- Young H. Cho, William H. Mangione-Smith:
A pattern matching coprocessor for network security.
234-239
Electronic Edition (ACM DL) BibTeX
- Tomás Balderas-Contreras, René Cumplido:
High performance encryption cores for 3G networks.
240-243
Electronic Edition (ACM DL) BibTeX
- Pallav Gupta, Srivaths Ravi, Anand Raghunathan, Niraj K. Jha:
Efficient fingerprint-based user authentication for embedded systems.
244-247
Electronic Edition (ACM DL) BibTeX
Performance,
energy,
and fault-tolerance considerations for MPSoC designs
- Yanhong Liu, Samarjit Chakraborty, Wei Tsang Ooi:
Approximate VCCs: a new characterization of multimedia workloads for system-level MpSoC design.
248-253
Electronic Edition (ACM DL) BibTeX
- Christian Sauer, Matthias Gries, Sören Sonntag:
Modular domain-specific implementation and exploration framework for embedded software platforms.
254-259
Electronic Edition (ACM DL) BibTeX
- Xi Chen, Abhijit Davare, Harry Hsieh, Alberto L. Sangiovanni-Vincentelli, Yosinori Watanabe:
Simulation based deadlock analysis for system level designs.
260-265
Electronic Edition (ACM DL) BibTeX
- Sorin Manolache, Petru Eles, Zebo Peng:
Fault and energy-aware communication mapping with guaranteed latency for applications implemented on NoC.
266-269
Electronic Edition (ACM DL) BibTeX
- Andrey V. Zykov, Elias Mizan, Margarida F. Jacome, Gustavo de Veciana, Ajay Subramanian:
High performance computing on fault-prone nanotechnologies: novel microarchitecture techniques exploiting reliability-delay trade-offs.
270-273
Electronic Edition (ACM DL) BibTeX
Management Day Session
losing the power gap between ASIC and custom
Panel
Wireless session:
information design methodology
- Jean-Samuel Chenard, Chun Yiu Chu, Zeljko Zilic, Milica Popovic:
Design methodology for wireless nodes with printed antennas.
291-296
Electronic Edition (ACM DL) BibTeX
- Yan Meng, Andrew P. Brown, Ronald A. Iltis, Timothy Sherwood, Hua Lee, Ryan Kastner:
MP core: algorithm and design techniques for efficient channel estimation in wireless applications.
297-302
Electronic Edition (ACM DL) BibTeX
- Wolfgang Eberle, Bruno Bougard, Sofie Pollin, Francky Catthoor:
From myth to methodology: cross-layer design for energy-efficient wireless communication.
303-308
Electronic Edition (ACM DL) BibTeX
Statistical optimization and manufacturability
Application specific architecture design tools
- Kingshuk Karuri, Mohammad Abdullah Al Faruque, Stefan Kraemer, Rainer Leupers, Gerd Ascheid, Heinrich Meyr:
Fine-grained application source code profiling for ASIP design.
329-334
Electronic Edition (ACM DL) BibTeX
- Sudarshan Banerjee, Elaheh Bozorgzadeh, Nikil D. Dutt:
Physically-aware HW-SW partitioning for reconfigurable architectures with partial dynamic reconfiguration.
335-340
Electronic Edition (ACM DL) BibTeX
- Ho Young Kim, Tag Gon Kim:
Performance simulation modeling for fast evaluation of pipelined scalar processor by evaluation reuse.
341-344
Electronic Edition (ACM DL) BibTeX
- Dohyung Kim, Youngmin Yi, Soonhoi Ha:
Trace-driven HW/SW cosimulation using virtual synchronization technique.
345-348
Electronic Edition (ACM DL) BibTeX
The Titanic:
what went wrong!
Panel
Design methods for manufacturability enhancements
- V. Kheterpal, V. Rovner, T. G. Hersan, D. Motiani, Y. Takegawa, Andrzej J. Strojwas, Lawrence T. Pileggi:
Design methodology for IC manufacturability based on regular logic-bricks.
353-358
Electronic Edition (ACM DL) BibTeX
- Jie Yang, Luigi Capodieci, Dennis Sylvester:
Advanced timing analysis based on post-OPC extraction of critical dimensions.
359-364
Electronic Edition (ACM DL) BibTeX
- Puneet Gupta, Andrew B. Kahng, Youngmin Kim, Dennis Sylvester:
Advanced Timing Analysis Based on Post-OPC Extraction of Critical Dimensions.
365-368
Electronic Edition (ACM DL) BibTeX
- Joydeep Mitra, Peng Yu, David Zhigang Pan:
RADAR: RET-aware detailed routing using fast lithography simulations.
369-372
Electronic Edition (ACM DL) BibTeX
Methods and representations for logic synthesis
Generating efficient models for analog circuits
Special session:
emerging directions in wireless
CAD for FPGAs
Effective formal verification using word-level reasoning,
bit-level generality,
and parallelism
- Himanshu Jain, Daniel Kroening, Natasha Sharygina, Edmund M. Clarke:
Word level predicate abstraction and refinement for verifying RTL verilog.
445-450
Electronic Edition (ACM DL) BibTeX
- Ganapathy Parthasarathy, Madhu K. Iyer, Kwang-Ting Cheng, Forrest Brewer:
Structural search for RTL with predicate learning.
451-456
Electronic Edition (ACM DL) BibTeX
- Markus Wedler, Dominik Stoffel, Wolfgang Kunz:
Normalization at the arithmetic bit level.
457-462
Electronic Edition (ACM DL) BibTeX
- Hari Mony, Jason Baumgartner, Viresh Paruthi, Robert Kanzelman:
Exploiting suspected redundancy without proving it.
463-466
Electronic Edition (ACM DL) BibTeX
- Debashis Sahoo, Jawahar Jain, Subramanian K. Iyer, David L. Dill, E. Allen Emerson:
Multi-threaded reachability.
467-470
Electronic Edition (ACM DL) BibTeX
Advances in synthesis
- Grace Nordin, Peter A. Milder, James C. Hoe, Markus Püschel:
Automatic generation of customized discrete fourier transform IPs.
471-474
Electronic Edition (ACM DL) BibTeX
- Shih-Hsu Huang, Yow-Tyng Nieh, Feng-Pin Lu:
Race-condition-aware clock skew scheduling.
475-478
Electronic Edition (ACM DL) BibTeX
- Swarup Bhunia, Nilanjan Banerjee, Qikai Chen, Hamid Mahmoodi-Meimand, Kaushik Roy:
A novel synthesis approach for active leakage power reduction using dynamic supply gating.
479-484
Electronic Edition (ACM DL) BibTeX
- Kundan Nepal, R. Iris Bahar, Joseph L. Mundy, William R. Patterson, Alexander Zaslavsky:
Designing logic circuits for probabilistic computation in the presence of noise.
485-490
Electronic Edition (ACM DL) BibTeX
- Peggy B. McGee, Steven M. Nowick:
A lattice-based framework for the classification and design of asynchronous pipelines.
491-496
Electronic Edition (ACM DL) BibTeX
Coping with buffering
Panel
Impact of process variations on power
- Hongliang Chang, Sachin S. Sapatnekar:
Full-chip analysis of leakage power under process variations, including spatial correlations.
523-528
Electronic Edition (ACM DL) BibTeX
- Navid Azizi, Muhammad M. Khellah, Vivek De, Farid N. Najm:
Variations-aware low-power design with voltage scaling.
529-534
Electronic Edition (ACM DL) BibTeX
- Ashish Srivastava, Saumil Shah, Kanak Agarwal, Dennis Sylvester, David Blaauw, Stephen W. Director:
Accurate and efficient gate-level parametric yield estimation considering correlated variations in leakage power and performance.
535-540
Electronic Edition (ACM DL) BibTeX
- Sarvesh Bhardwaj, Sarma B. K. Vrudhula:
Leakage minimization of nano-scale circuits in the presence of systematic and random variations.
541-546
Electronic Edition (ACM DL) BibTeX
Special session:
The best of wireless at ISSCC
- Pascal Urard, L. Paumier, P. Georgelin, T. Michel, V. Lebars, E. Yeo, B. Gupta:
A 135Mbps DVB-S2 compliant codec based on 64800-bit LDPC and BCH codes (ISSCC paper 24.3).
547-548
Electronic Edition (ACM DL) BibTeX
- Philippe Royannez, Hugh Mair, Franck Dahan, Mike Wagner, Mark Streeter, Laurent Bouetel, Joel Blasquez, H. Clasen, G. Semino, Julie Dong, D. Scott, B. Pitts, Claudine Raibaut, Uming Ko:
A design platform for 90-nm leakage reduction techniques.
549-550
Electronic Edition (ACM DL) BibTeX
- Arun Natarajan, Abbas Komijani, Ali Hajimiri:
A 24 GHz phased-array transmitter in 0.18µm CMOS.
551-552
Electronic Edition (ACM DL) BibTeX
Architectural support for communication
- Taeweon Suh, Daehyun Kim, Hsien-Hsin S. Lee:
Cache coherence support for non-shared bus architecture on heterogeneous MPSoCs.
553-558
Electronic Edition (ACM DL) BibTeX
- Jongman Kim, Dongkook Park, Theo Theocharides, Narayanan Vijaykrishnan, Chita R. Das:
A low latency router supporting adaptivity for on-chip interconnects.
559-564
Electronic Edition (ACM DL) BibTeX
- Sudeep Pasricha, Nikil D. Dutt, Elaheh Bozorgzadeh, Mohamed Ben-Romdhane:
Floorplan-aware automated synthesis of bus-based communication architectures.
565-570
Electronic Edition (ACM DL) BibTeX
- Krishna Sekar, Kanishka Lahiri, Anand Raghunathan, Sujit Dey:
FLEXBUS: a high-performance system-on-chip communication architecture with a dynamically configurable topology.
571-574
Electronic Edition (ACM DL) BibTeX
- Sven Heithecker, Rolf Ernst:
Traffic shaping for an FPGA based SDRAM controller with complex QoS requirements.
575-578
Electronic Edition (ACM DL) BibTeX
New approaches to physical design problems
Special session:
MATLAB - the other emerging system-design language
Panel
Emerging ideas in energy management techniques
Advances in optimization of mixed-signal circuits
Circuit performance under parameter variation
Special session:
Formally verifying your 10-million gate design
Embedded hardware and system software
Power estimation and design tradeoffs
Programmable architectures
SAT:
cool algorithms and hot applications
Special session:
DFM and variability:
Theory and practice
- N. S. Nagaraj, Tom Bonifield, Abha Singh, Clive Bittlestone, Usha Narasimha, Viet Le, Anthony M. Hill:
BEOL variability and impact on RC extraction.
758-759
Electronic Edition (ACM DL) BibTeX
- Carlo Guardiani, Massimo Bertoletti, Nicola Dragone, Marco Malcotti, Patrick McNamara:
An effective DFM strategy requires accurate process and IP pre-characterization.
760-761
Electronic Edition (ACM DL) BibTeX
- James Tschanz, Keith A. Bowman, Vivek De:
Variation-tolerant circuits: circuit solutions and techniques.
762-763
Electronic Edition (ACM DL) BibTeX
- Farid N. Najm:
On the need for statistical timing analysis.
764-765
Electronic Edition (ACM DL) BibTeX
- David Blaauw, Kaviraj Chopra:
CAD tools for variation tolerance.
766
Electronic Edition (ACM DL) BibTeX
- Matt Nowak, Riko Radojcic:
Are there economic benefits in DFM?
767-768
Electronic Edition (ACM DL) BibTeX
Tools and methods for the verification of processors and processor-based systems
- Allon Adir, Hezi Azatchi, Eyal Bin, Ofer Peled, Kirill Shoikhet:
A generic micro-architectural test plan approach for microprocessor verification.
769-774
Electronic Edition (ACM DL) BibTeX
- Sudheendra Hangal, Naveen Chandra, Sridhar Narayanan, Sandeep Chakravorty:
IODINE: a tool to automatically infer dynamic invariants for hardware designs.
775-778
Electronic Edition (ACM DL) BibTeX
- Allon Adir, Yaron Arbetman, Bella Dubrov, Yossi Lichtenstein, Michal Rimon, Michael Vinov, Massimo A. Calligaro, Andrew Cofler, Gabriel Duffy:
VLIW: a case study of parallelism verification.
779-782
Electronic Edition (ACM DL) BibTeX
- Ilya Wagner, Valeria Bertacco, Todd M. Austin:
StressTest: an automatic approach to test generation via activity monitors.
783-788
Electronic Edition (ACM DL) BibTeX
- Sadik Ezer, Scott Johnson:
Smart diagnostics for configurable processor verification.
789-794
Electronic Edition (ACM DL) BibTeX
Electrical optimization for physical synthesis
- Yongseok Cheon, Pei-Hsin Ho, Andrew B. Kahng, Sherief Reda, Qinke Wang:
Power-aware placement.
795-800
Electronic Edition (ACM DL) BibTeX
- Amit Chowdhary, Karthik Rajagopal, Satish Venkatesan, Tung Cao, Vladimir Tiourin, Yegna Parasuram, Bill Halpin:
How accurately can we model timing in a placement engine?
801-806
Electronic Edition (ACM DL) BibTeX
- Hiran Tennakoon, Carl Sechen:
Efficient and accurate gate sizing with piecewise convex delay models.
807-812
Electronic Edition (ACM DL) BibTeX
- Yuantao Peng, Xun Liu:
Freeze: engineering a fast repeater insertion solver for power minimization using the ellipsoid method.
813-818
Electronic Edition (ACM DL) BibTeX
Optimization techniques in high-level synthesis
Testing for process- and timing-related faults
- Seiji Kajihara, Masayasu Fukunaga, Xiaoqing Wen, Toshiyuki Maeda, Shuji Hamada, Yasuo Sato:
Path delay test compaction with process variation tolerance.
845-850
Electronic Edition (ACM DL) BibTeX
- Rasit Onur Topaloglu, Alex Orailoglu:
A DFT approach for diagnosis and process variation-aware structural test of thermometer coded current steering DACs.
851-856
Electronic Edition (ACM DL) BibTeX
- Luigi Dilillo, Patrick Girard, Serge Pravossoudovitch, Arnaud Virazel, Magali Bastian:
Resistive-open defect injection in SRAM core-cell: analysis and comparison between 0.13 µm and 90 nm technologies.
857-862
Electronic Edition (ACM DL) BibTeX
- Yannick Monnet, Marc Renaudin, Régis Leveugle:
Asynchronous circuits transient faults sensitivity evaluation.
863-868
Electronic Edition (ACM DL) BibTeX
Special session:
Hierarchical design and design space exploration of analog integrated circuits
Panel
Dynamic voltage scaling
New directions in FPGA technologies
Reduced-order modeling
Copyright © Sat May 16 23:04:39 2009
by Michael Ley (ley@uni-trier.de)