dblp.uni-trier.dewww.uni-trier.de

Qiang Zhou

List of publications from the DBLP Bibliography Server - FAQ
Coauthor Index - Ask others: ACM DL/Guide - CiteSeer - CSB - Google - MSN - Yahoo

2009
72EELiu Dawei, Qiang Zhou, Jinian Bian, Yici Cai, Xianlong Hong: Cell shifting aware of wirelength and overlap. ISQED 2009: 506-510
2008
71EELiangpeng Guo, Yici Cai, Qiang Zhou, Le Kang, Xianlong Hong: A novel performance driven power gating based on distributed sleep transistor network. ACM Great Lakes Symposium on VLSI 2008: 255-260
70EEYanfeng Wang, Qiang Zhou, Yici Cai, Jiang Hu, Xianlong Hong, Jinian Bian: Low power clock buffer planning methodology in F-D placement for large scale circuit design. ASP-DAC 2008: 370-375
69EEXing Wei, Juanjuan Chen, Qiang Zhou, Yici Cai, Jinian Bian, Xianlong Hong: MacroMap: A technology mapping algorithm for heterogeneous FPGAs with effective area estimation. FPL 2008: 559-562
68EEHaixia Yan, Qiang Zhou, Xianlong Hong: Efficient Thermal Aware Placement Approach Integrated with 3D DCT Placement Algorithm. ISQED 2008: 289-292
67EEYin Shen, Yici Cai, Qiang Zhou, Xianlong Hong: DFM Based Detailed Routing Algorithm for ECP and CMP. ISQED 2008: 357-360
66EEQiang Zhou, Jiuqiang Han: Research of Time-frequency Analysis Method of Nonstationary Periodic Signal. RAM 2008: 941-945
65EEQiang Zhou: A Computational Framework to Integrate Different Semantic Resources. TSD 2008: 243-250
64EELiangpeng Guo, Yici Cai, Qiang Zhou, Xianlong Hong: Logic and Layout Aware Level Converter Optimization for Multiple Supply Voltage. IEICE Transactions 91-A(8): 2084-2090 (2008)
63EEYici Cai, Qiang Zhou, Xianlong Hong, Rui Shi, Yang Wang: Application of optical proximity correction technology. Science in China Series F: Information Sciences 51(2): 213-224 (2008)
2007
62EEYue Zhuo, Hao Li, Qiang Zhou, Yici Cai, Xianlong Hong: New timing and routability driven placement algorithms for FPGA synthesis. ACM Great Lakes Symposium on VLSI 2007: 570-575
61EEYi Zou, Yici Cai, Qiang Zhou, Xianlong Hong, Sheldon X.-D. Tan, Le Kang: Practical Implementation of Stochastic Parameterized Model Order Reduction via Hermite Polynomial Chaos. ASP-DAC 2007: 367-372
60EELiangpeng Guo, Yici Cai, Qiang Zhou, Xianlong Hong: Logic and Layout Aware Voltage Island Generation for Low Power Design. ASP-DAC 2007: 666-671
59EEYuchun Ma, Zhuoyuan Li, Jason Cong, Xianlong Hong, Glenn Reinman, Sheqin Dong, Qiang Zhou: Micro-architecture Pipelining Optimization with Throughput-Aware Floorplanning. ASP-DAC 2007: 920-925
58EEPingqiang Zhou, Yuchun Ma, Zhuoyuan Li, Robert P. Dick, Li Shang, Hai Zhou, Xianlong Hong, Qiang Zhou: 3D-STAF: scalable temperature and leakage aware floorplanning for three-dimensional integrated circuits. ICCAD 2007: 590-597
57EEYanfeng Wang, Qiang Zhou, Xianlong Hong, Yici Cai: Clock-Tree Aware Placement Based on Dynamic Clock-Tree Building. ISCAS 2007: 2040-2043
56EEHaixia Yan, Zhuoyuan Li, Xianlong Hong, Qiang Zhou: Unified Quadratic Programming Approach For 3-D Mixed Mode Placement. ISCAS 2007: 3411-3414
55EEYici Cai, Bin Liu, Jin Shi, Qiang Zhou, Xianlong Hong: Power Delivery Aware Floorplanning for Voltage Island Designs. ISQED 2007: 350-355
54EEZhipeng Liu, Jinian Bian, Qiang Zhou, Hui Dai: Interconnect Delay and Power Optimization by Module Duplication for Integration of High Level Synthesis and Floorplan. ISVLSI 2007: 279-284
53EEHuafeng Wu, Han Peng, Qiang Zhou, Min Yang, Bing Sun, Bo Yu: P2P Multimedia Sharing over MANET. MMM (2) 2007: 635-642
52EEHuafeng Wu, Haiguang Chen, Qiang Zhou, Chuanshan Gao: Throughput Performance of Marine STDMA Ad-hoc Network. SNPD (1) 2007: 829-834
51EEZhuoyuan Li, Xianlong Hong, Qiang Zhou, Shan Zeng, Jinian Bian, Wenjian Yu, Hannah Honghua Yang, Vijay Pitchumani, Chung-Kuan Cheng: Efficient Thermal via Planning Approach and Its Application in 3-D Floorplanning. IEEE Trans. on CAD of Integrated Circuits and Systems 26(4): 645-658 (2007)
50EEYici Cai, Bin Liu, Qiang Zhou, Xianlong Hong: Voltage Island Generation in Cell Based Dual-Vdd Design. IEICE Transactions 90-A(1): 267-273 (2007)
49EEYongqiang Lu, Xianlong Hong, Qiang Zhou, Yici Cai, Jun Gu: An efficient quadratic placement based on search space traversing technology. Integration 40(3): 253-260 (2007)
48EEQiang Zhou, Yici Cai, Duo Li, Xianlong Hong: A Yield-Driven Gridless Router. J. Comput. Sci. Technol. 22(5): 653-660 (2007)
2006
47EEQiang Zhou, Yi Zou, Yici Cai, Xianlong Hong: Variational Circuit Simulator based on a Unified Methodology using Arithmetic over Taylor Polynomials. APCCAS 2006: 1635-1638
46EEBin Liu, Yici Cai, Qiang Zhou, Xianlong Hong: Power driven placement with layout aware supply voltage assignment for voltage island generation in Dual-Vdd designs. ASP-DAC 2006: 582-587
45EEQiang Zhou, Limin Ma, Mehmet Celenk, David M. Chelberg: Object Detection and Recognition via Deformable Illumination and Deformable Shape. ICIP 2006: 2737-2740
44EEXin Zhao, Yici Cai, Qiang Zhou, Xianlong Hong: A novel low-power physical design methodology for MTCMOS. ISCAS 2006
43EELijuan Luo, Qiang Zhou, Yici Cai, Xianlong Hong, Yibo Wang: A novel technique integrating buffer insertion into timing driven placement. ISCAS 2006
42EEQiang Zhou, Zhihua Xiong, Jie Zhang, Yongmao Xu: Hierarchical Neural Network Based Product Quality Prediction of Industrial Ethylene Pyrolysis Process. ISNN (2) 2006: 1132-1137
41EEZhuoyuan Li, Xianlong Hong, Qiang Zhou, Shan Zeng, Jinian Bian, Hannah Honghua Yang, Vijay Pitchumani, Chung-Kuan Cheng: Integrating dynamic thermal via planning with 3D floorplanning algorithm. ISPD 2006: 178-185
40EEZhuoyuan Li, Xianlong Hong, Qiang Zhou, Jinian Bian, Hannah Honghua Yang, Vijay Pitchumani: Efficient thermal-oriented 3D floorplanning and thermal via planning for two-stacked-die integration. ACM Trans. Design Autom. Electr. Syst. 11(2): 325-345 (2006)
39EEQiang Zhou, Limin Ma, David M. Chelberg: Adaptive object detection and recognition based on a feedback strategy. Image Vision Comput. 24(1): 80-93 (2006)
38EEYici Cai, Bin Liu, Yan Xiong, Qiang Zhou, Xianlong Hong: Priority-Based Routing Resource Assignment Considering Crosstalk. J. Comput. Sci. Technol. 21(6): 913-921 (2006)
2005
37EEHailong Yao, Yici Cai, Xianlong Hong, Qiang Zhou: Improved multilevel routing with redundant via placement for yield and reliability. ACM Great Lakes Symposium on VLSI 2005: 143-146
36EEQinglang Luo, Xianlong Hong, Qiang Zhou, Yici Cai: A new algorithm for layout of dark field alternating phase shifting masks. ACM Great Lakes Symposium on VLSI 2005: 221-224
35EEYongqiang Lu, Cliff C. N. Sze, Xianlong Hong, Qiang Zhou, Yici Cai, Liang Huang, Jiang Hu: Register placement for low power clock network. ASP-DAC 2005: 588-593
34EEYi Zou, Qiang Zhou, Yici Cai, Xianlong Hong, Sheldon X.-D. Tan: Analysis of buffered hybrid structured clock networks. ASP-DAC 2005: 93-98
33EELiang Huang, Yici Cai, Qiang Zhou, Xianlong Hong, Jiang Hu, Yongqiang Lu: Clock network minimization methodology based on incremental placement. ASP-DAC 2005: 99-102
32EEQiang Zhou, Zushun Chen: Building a Situation-Based Language Knowledge Base. CICLing 2005: 333-336
31EEYongqiang Lu, Cliff C. N. Sze, Xianlong Hong, Qiang Zhou, Yici Cai, Liang Huang, Jiang Hu: Navigating registers in placement for clock network minimization. DAC 2005: 176-181
30EELijuan Luo, Qiang Zhou, Xianlong Hong, Hanbin Zhou: Multi-stage Detailed Placement Algorithm for Large-Scale Mixed-Mode Layout Design. ICCSA (4) 2005: 896-905
29EEYunfeng Wang, Jinian Bian, Xianlong Hong, Liu Yang, Qiang Zhou, Qiang Wu: A New Methodology of Integrating High Level Synthesis and Floorplan for SoC Design. ICESS 2005: 275-286
28EEYici Cai, Bin Liu, Xiong Yan, Qiang Zhou, Xianlong Hong: A Hybrid Genetic Algorithm and Application to the Crosstalk Aware Track Assignment Problem. ICNC (3) 2005: 181-184
27EEYici Cai, Bin Liu, Qiang Zhou, Xianlong Hong: Integrated routing resource assignment for RLC crosstalk minimization. ISCAS (2) 2005: 1871-1874
26EEZhuoyuan Li, Xianlong Hong, Qiang Zhou, Yici Cai, Jinian Bian, Hannal Yang, Prashant Saxena, Vijay Pitchumani: A divide-and-conquer 2.5-D floorplanning algorithm based on statistical wirelength estimation. ISCAS (6) 2005: 6230-6233
25EEYici Cai, Bin Liu, Qiang Zhou, Xianlong Hong: A Thermal Aware Floorplanning Algorithm Supporting Voltage Islands for Low Power SOC Design. PATMOS 2005: 257-266
24EEYongqiang Lu, Chin-Ngai Sze, Xianlong Hong, Qiang Zhou, Yici Cai, Liang Huang, Jiang Hu: Navigating Register Placement for Low Power Clock Network Design. IEICE Transactions 88-A(12): 3405-3411 (2005)
23EEBin Liu, Yici Cai, Qiang Zhou, Xianlong Hong: Crosstalk and Congestion Driven Layer Assignment Algorithm. IEICE Transactions 88-A(6): 1565-1572 (2005)
22EEYi Zou, Yici Cai, Qiang Zhou, Xianlong Hong, Sheldon X.-D. Tan: A Fast Delay Computation for the Hybrid Structured Clock Network. IEICE Transactions 88-A(7): 1964-1970 (2005)
21EEHailong Yao, Yici Cai, Qiang Zhou, Xianlong Hong: Crosstalk-Aware Routing Resource Assignment. J. Comput. Sci. Technol. 20(2): 231-236 (2005)
20EEYici Cai, Xin Zhao, Qiang Zhou, Xianlong Hong: Shielding Area Optimization Under the Solution of Interconnect Crosstalk. J. Comput. Sci. Technol. 20(6): 901-906 (2005)
19EEQiang Zhou, Limin Ma, David M. Chelberg, Jingbing Xue, Ellengene Peterson, Michael Rowe: A novel machine vision application for analysis and visualization of confocal microscopic images. Mach. Vis. Appl. 16(2): 96-104 (2005)
18EEQiang Zhou, Limin Ma, Mehmet Celenk, David M. Chelberg: Content-Based Image Retrieval Based on ROI Detection and Relevance Feedback. Multimedia Tools Appl. 27(2): 251-281 (2005)
2004
17EEQiang Zhou, Jie Zhang, Yongmao Xu: Predicting the product yield profile and cracking degrees in an industrial ethylene pyrolysis furnace. ICARCV 2004: 2129-2133
16EEYi Zou, Yici Cai, Qiang Zhou, Xianlong Hong, Sheldon X.-D. Tan: A Fast Delay Analysis Algorithm for The Hybrid Structured Clock Network. ICCD 2004: 344-349
15EELimin Ma, Qiang Zhou, Mehmet Celenk, David M. Chelberg: Facial event mining using coupled hidden markov models. ICIP 2004: 1405-1408
14 Limin Ma, Qiang Zhou, David M. Chelberg, Mehmet Celenk: Shape-based image retrieval with relevance feedback. ICME 2004: 779-782
13 Yang Wang, Yici Cai, Xianlong Hong, Qiang Zhou: Algorithm for yield driven correction of layout. ISCAS (5) 2004: 241-245
12 Xin Zhao, Yici Cai, Qiang Zhou, Xianlong Hong, Lei He, Jinjun Xiong: Shielding area optimization under the solution of interconnect crosstalk. ISCAS (5) 2004: 297-300
11 Changqi Yang, Xianlong Hong, Hannah Honghua Yang, Qiang Zhou, Yici Cai, Yongqiang Lu: Recursively combine floorplan and Q-place in mixed mode placement based on circuit's variety of block configuration. ISCAS (5) 2004: 81-84
10 Bin Liu, Yici Cai, Qiang Zhou, Xianlong Hong: Layer assignment algorithm for RLC crosstalk minimization. ISCAS (5) 2004: 85-88
9 Hailong Yao, Qiang Zhou, Xianlong Hong, Yici Cai: Crosstalk driven routing resource assignment. ISCAS (5) 2004: 89-92
8EEQiang Zhou, David Parrott, Matthew Gillen, David M. Chelberg, Lonnie R. Welch: Agent-based computer vision in a dynamic, real-time environment. Pattern Recognition 37(4): 691-705 (2004)
2003
7 Qiang Zhou, Limin Ma, Mehmet Celenk, David M. Chelberg: Natural scene synthesis using multiple eigenspaces. ICIP (2) 2003: 121-124
6EEQiang Zhou: Build a Large-Scale Syntactically Annotated Chinese Corpus. TSD 2003: 106-113
2002
5EEMehmet Celenk, Qiang Zhou, David M. Chelberg: Equal Intensity Map Texture Modeling for Natural Scene Segmentation. SSIAI 2002: 219-223
4EEMingyu Lu, Qiang Zhou, Li Fan, Yuchang Lu, Lizhu Zhou: Recommendation of Web Pages Based on Concept Association. WECWIS 2002: 221-227
2001
3 David M. Chelberg, Lonnie R. Welch, Cynthia R. Marling, Carl Bruggeman, Douglas Lawrence, David W. Matolak, Robert L. Williams II, Jae Y. Lew, Arvind Lakshmikumar, Matthew Gillen, Qiang Zhou, Barbara Pfarr: A Dynamic, Real-Time Testbed for Resource Management Technology. IPDPS 2001: 88
2EEElliott Franco Drábek, Qiang Zhou: Use of a Lexical Feature Database for Partial Parsing of Chinese. NLPRS 2001: 663-668
2000
1EEQiang Zhou: Local context templates for Chinese constituent boundary prediction. COLING 2000: 975-981

Coauthor Index

1Jinian Bian [26] [29] [40] [41] [51] [54] [69] [70] [72]
2Carl Bruggeman [3]
3Yici Cai [9] [10] [11] [12] [13] [16] [20] [21] [22] [23] [24] [25] [26] [27] [28] [31] [33] [34] [35] [36] [37] [38] [43] [44] [46] [47] [48] [49] [50] [55] [57] [60] [61] [62] [63] [64] [67] [69] [70] [71] [72]
4Mehmet Celenk [5] [7] [14] [15] [18] [45]
5David M. Chelberg [3] [5] [7] [8] [14] [15] [18] [19] [39] [45]
6Haiguang Chen [52]
7Juanjuan Chen [69]
8Zushun Chen [32]
9Chung-Kuan Cheng [41] [51]
10Jason Cong [59]
11Hui Dai [54]
12Liu Dawei [72]
13Robert P. Dick [58]
14Sheqin Dong [59]
15Elliott Franco Drábek [2]
16Li Fan [4]
17Chuanshan Gao [52]
18Matthew Gillen [3] [8]
19Jun Gu [49]
20Liangpeng Guo [60] [64] [71]
21Jiuqiang Han [66]
22Lei He [12]
23Xianlong Hong [9] [10] [11] [12] [13] [16] [20] [21] [22] [23] [24] [25] [26] [27] [28] [29] [30] [31] [33] [34] [35] [36] [37] [38] [40] [41] [43] [44] [46] [47] [48] [49] [50] [51] [55] [56] [57] [58] [59] [60] [61] [62] [63] [64] [67] [68] [69] [70] [71] [72]
24Jiang Hu [24] [31] [33] [35] [70]
25Liang Huang [24] [31] [33] [35]
26Le Kang [61] [71]
27Arvind Lakshmikumar [3]
28Douglas Lawrence [3]
29Jae Y. Lew [3]
30Duo Li [48]
31Hao Li [62]
32Zhuoyuan Li [26] [40] [41] [51] [56] [58] [59]
33Bin Liu [10] [23] [25] [27] [28] [38] [46] [50] [55]
34Zhipeng Liu [54]
35Mingyu Lu [4]
36Yongqiang Lu [11] [24] [31] [33] [35] [49]
37Yuchang Lu [4]
38Lijuan Luo [30] [43]
39Qinglang Luo [36]
40Limin Ma [7] [14] [15] [18] [19] [39] [45]
41Yuchun Ma [58] [59]
42Cynthia R. Marling (Cindy Marling) [3]
43David W. Matolak [3]
44David Parrott [8]
45Han Peng [53]
46Ellengene Peterson [19]
47Barbara Pfarr [3]
48Vijay Pitchumani [26] [40] [41] [51]
49Glenn Reinman [59]
50Michael Rowe [19]
51Prashant Saxena [26]
52Li Shang [58]
53Yin Shen [67]
54Jin Shi [55]
55Rui Shi [63]
56Bing Sun [53]
57Chin-Ngai Sze [24]
58Cliff C. N. Sze (Chin Ngai Sze, Cliff N. Sze) [31] [35]
59Sheldon X.-D. Tan (Xiang-Dong Tan) [16] [22] [34] [61]
60Yanfeng Wang [57] [70]
61Yang Wang [13] [63]
62Yibo Wang [43]
63Yunfeng Wang [29]
64Xing Wei [69]
65Lonnie R. Welch [3] [8]
66Robert L. Williams II [3]
67Huafeng Wu [52] [53]
68Qiang Wu [29]
69Jinjun Xiong [12]
70Yan Xiong [38]
71Zhihua Xiong [42]
72Yongmao Xu [17] [42]
73Jingbing Xue [19]
74Haixia Yan [56] [68]
75Xiong Yan [28]
76Changqi Yang [11]
77Hannah Honghua Yang (Honghua Yang) [11] [40] [41] [51]
78Hannal Yang [26]
79Liu Yang [29]
80Min Yang [53]
81Hailong Yao [9] [21] [37]
82Bo Yu [53]
83Wenjian Yu [51]
84Shan Zeng [41] [51]
85Jie Zhang [17] [42]
86Xin Zhao [12] [20] [44]
87Hai Zhou [58]
88Hanbin Zhou [30]
89Lizhu Zhou (Li-Zhu Zhou) [4]
90Pingqiang Zhou [58]
91Yue Zhuo [62]
92Yi Zou [16] [22] [34] [47] [61]

Colors in the list of coauthors

Copyright © Sun May 17 03:24:02 2009 by Michael Ley (ley@uni-trier.de)