dblp.uni-trier.dewww.uni-trier.de

Rajesh K. Gupta

Rajesh Gupta

List of publications from the DBLP Bibliography Server - FAQ
Coauthor Index - Ask others: ACM DL/Guide - CiteSeer - CSB - Google - MSN - Yahoo
Home Page

2009
161EEZhong-Yi Jin, Curt Schurgers, Rajesh K. Gupta: A gateway node with duty-cycled radio and processing subsystems for wireless sensor networks. ACM Trans. Design Autom. Electr. Syst. 14(1): (2009)
2008
160 Marcelo Lubaszewski, Michel Renovell, Rajesh K. Gupta: Proceedings of the 21st Annual Symposium on Integrated Circuits and Systems Design, SBCCI 2008, Gramado, Brazil, September 1-4, 2008 ACM 2008
159EESudipta Kundu, Sorin Lerner, Rajesh Gupta: Validating High-Level Synthesis. CAV 2008: 459-472
158EESudipta Kundu, Malay K. Ganai, Rajesh Gupta: Partial order reduction for scalable testing of systemC TLM designs. DAC 2008: 936-941
157EESrinivasan Murali, Almir Mutapcic, David Atienza, Rajesh Gupta, Stephen Boyd, Luca Benini, Giovanni De Micheli: Temperature Control of High-Performance Multi-core Platforms Using Convex Optimization. DATE 2008: 110-115
156EEZhong-Yi Jin, Rajesh Gupta: Improved Distributed Simulation of Sensor Networks Based on Sensor Node Sleep Time. DCOSS 2008: 204-218
155EERyo Sugihara, Rajesh K. Gupta: Improving the Data Delivery Latency in Sensor Networks with Controlled Mobility. DCOSS 2008: 386-399
154EERyo Sugihara, Rajesh K. Gupta: Programming models for sensor networks: A survey. TOSN 4(2): (2008)
2007
153EESrinivasan Murali, Almir Mutapcic, David Atienza, Rajesh Gupta, Stephen Boyd, Giovanni De Micheli: Temperature-aware processor frequency assignment for MPSoCs using convex optimization. CODES+ISSS 2007: 111-116
152EEDohyung Kim, Soonhoi Ha, Rajesh Gupta: CATS: cycle accurate transaction-driven simulation with multiple processor simulators. DATE 2007: 749-754
151EEFrederic Doucet, R. K. Shyamasundar, Ingolf H. Krüger, Saurabh Joshi, Rajesh K. Gupta: Reactivity in SystemC Transaction-Level Models. Haifa Verification Conference 2007: 34-50
150EESudipta Kundu, Sorin Lerner, Rajesh Gupta: Automated refinement checking of concurrent systems. ICCAD 2007: 318-325
149EEYuvraj Agarwal, Ranveer Chandra, Alec Wolman, Paramvir Bahl, Kevin Chin, Rajesh K. Gupta: Wireless wakeups revisited: energy management for voip over wi-fi smartphones. MobiSys 2007: 179-191
148EEZhong-Yi Jin, Curt Schurgers, Rajesh K. Gupta: An Embedded Platform with Duty-Cycled Radio and Processing Subsystems for Wireless Sensor Networks. SAMOS 2007: 421-430
147EESandy Irani, Sandeep K. Shukla, Rajesh Gupta: Algorithms for power savings. ACM Transactions on Algorithms 3(4): (2007)
2006
146EEChalermek Intanagonwiwat, Rajesh K. Gupta, Amin Vahdat: Declarative Resource Naming for Macroprogramming Wireless Networks of Embedded Systems. ALGOSENSORS 2006: 192-199
145EEJeffrey Namkung, Dohyung Kim, Rajesh K. Gupta, Igor Kozintsev, Jean-Yves Bouguet, Carole Dulong: Phase guided sampling for efficient parallel application simulation. CODES+ISSS 2006: 187-192
144EEDohyung Kim, Soonhoi Ha, Rajesh Gupta: Parallel co-simulation using virtual synchronization with redundant host execution. DATE 2006: 1151-1156
143EEFrederic Doucet, Ingolf Krüger, Rajesh K. Gupta, R. K. Shyamasundar: Compositional interaction specifications for SystemC. MEMOCODE 2006: 201
142EETrevor Pering, Yuvraj Agarwal, Rajesh K. Gupta, Roy Want: CoolSpots: reducing the power consumption of wireless mobile devices with multiple radio interfaces. MobiSys 2006: 220-232
141EEFrederic Doucet, Massimiliano Menarini, Ingolf H. Krüger, Rajesh K. Gupta, Jean-Pierre Talpin: A Verification Approach for GALS Integration of Synchronous Components. Electr. Notes Theor. Comput. Sci. 146(2): 105-131 (2006)
140EERavindra Jejurikar, Rajesh Gupta: Optimized Slowdown in Real-Time Task Systems. IEEE Trans. Computers 55(12): 1588-1598 (2006)
139EEArun Kejariwal, Sumit Gupta, Alexandru Nicolau, Nikil D. Dutt, Rajesh K. Gupta: Energy efficient watermarking on mobile devices using proxy-based partitioning. IEEE Trans. VLSI Syst. 14(6): 625-636 (2006)
138EERavindra Jejurikar, Rajesh K. Gupta: Energy-aware task scheduling with task synchronization for embedded real-time systems. IEEE Trans. on CAD of Integrated Circuits and Systems 25(6): 1024-1037 (2006)
2005
137EEYuvraj Agarwal, Curt Schurgers, Rajesh Gupta: Dynamic power management using on demand paging for networked embedded systems. ASP-DAC 2005: 755-759
136EECristiano Pereira, Jeremy Lau, Brad Calder, Rajesh K. Gupta: Dynamic phase analysis for cycle-close trace generation. CODES+ISSS 2005: 321-326
135EERavindra Jejurikar, Rajesh K. Gupta: Dynamic slack reclamation with procrastination scheduling in real-time embedded systems. DAC 2005: 111-116
134EERavindra Jejurikar, Rajesh K. Gupta: Energy Aware Non-Preemptive Scheduling for Hard Real-Time Systems. ECRTS 2005: 21-30
133EEArun Kejariwal, Sumit Gupta, Alexandru Nicolau, Nikil Dutt, Rajesh Gupta: Energy Analysis of Multimedia Watermarking on Mobile Handheld Devices. ESTImedia 2005: 33-38
132EEShivajit Mohapatra, Radu Cornea, Hyunok Oh, Kyoungwoo Lee, Minyoung Kim, Nikil D. Dutt, Rajesh Gupta, Alexandru Nicolau, Sandeep K. Shukla, Nalini Venkatasubramanian: A Cross-Layer Approach for Power-Performance Optimization in Distributed Mobile Systems. IPDPS 2005
131EENicolae Savoiu, Sandeep K. Shukla, Rajesh K. Gupta: Improving SystemC simulation through Petri net reductions. MEMOCODE 2005: 131-140
130EELuca Benini, Sandeep K. Shukla, Rajesh K. Gupta: Architectural, System Level and Protocol Level Techniques for Power Optimization for Networked Embedded Systems. VLSI Design 2005: 18-
129 Hiren D. Patel, Sumit Gupta, Sandeep K. Shukla, Rajesh Gupta: An Introductory Survey of Networked Embedded Systems. The Industrial Information Technology Handbook 2005: 0-
128EEGethin Norman, David Parker, Marta Z. Kwiatkowska, Sandeep K. Shukla, Rajesh Gupta: Using probabilistic model checking for dynamic power management. Formal Asp. Comput. 17(2): 160-176 (2005)
127EERajesh K. Gupta: Global competitiveness, outsourcing, and education in the semiconductor industry. IEEE Design & Test of Computers 22(1): 5-6 (2005)
126EERajesh K. Gupta: FPGA-enabled computing architectures. IEEE Design & Test of Computers 22(2): 81 (2005)
125EERajesh K. Gupta: The other face of design for manufacturability. IEEE Design & Test of Computers 22(3): 193 (2005)
124EERajesh K. Gupta: Nanotechnology: Where science of the small meets math of the large. IEEE Design & Test of Computers 22(4): 289, 294 (2005)
123EERajesh K. Gupta: On-chip networks. IEEE Design & Test of Computers 22(5): 393 (2005)
122EERajesh K. Gupta: Going 3D: Silicon and D&T. IEEE Design & Test of Computers 22(6): 493-494 (2005)
121EEPaolo D'Alberto, Alexandru Nicolau, Alexander V. Veidenbaum, Rajesh K. Gupta: Line Size Adaptivity Analysis of Parameterized Loop Nests for Direct Mapped Data Cache. IEEE Trans. Computers 54(2): 185-197 (2005)
120EESandy Irani, Gaurav Singh, Sandeep K. Shukla, Rajesh K. Gupta: An overview of the competitive and adversarial approaches to designing dynamic power management strategies. IEEE Trans. VLSI Syst. 13(12): 1349-1361 (2005)
119EEJean-Pierre Talpin, Paul Le Guernic, Sandeep K. Shukla, Rajesh Gupta: A Compositional Behavioral Modeling Framework for Embedded System Design and Conformance Checking. International Journal of Parallel Programming 33(6): 613-643 (2005)
2004
118EEJean-Pierre Talpin, David Berner, Sandeep K. Shukla, Paul Le Guernic, Abdoulaye Gamatié, Rajesh Gupta: A Behavioral Type Inference System for Compositional System-on-Chip Design. ACSD 2004: 47-56
117EERajesh Gupta, R. K. Shyamasundar: Reactive Framework for Resource Aware Distributed Computing. ASIAN 2004: 452-467
116EERavindra Jejurikar, Cristiano Pereira, Rajesh K. Gupta: Leakage aware dynamic voltage scaling for real-time embedded systems. DAC 2004: 275-280
115EEArun Kejariwal, Sumit Gupta, Alexandru Nicolau, Nikil Dutt, Rajesh Gupta: Proxy-based task partitioning of watermarking algorithms for reducing energy consumption in mobile devices. DAC 2004: 556-561
114EEHans Van Antwerpen, Nikil D. Dutt, Rajesh K. Gupta, Shivajit Mohapatra, Cristiano Pereira, Nalini Venkatasubramanian, Ralph von Vignau: Energy-Aware System Design for Wireless Multimedia. DATE 2004: 1124-1131
113EESumit Gupta, Nikil Dutt, Rajesh Gupta, Alexandru Nicolau: Loop Shifting and Compaction for the High-Level Synthesis of Designs with Complex Control Flow. DATE 2004: 114-121
112EENikhil Bansal, Sumit Gupta, Nikil Dutt, Alexandru Nicolau, Rajesh Gupta: Network Topology Exploration of Mesh-Based Coarse-Grain Reconfigurable Architectures. DATE 2004: 474-479
111EERavindra Jejurikar, Rajesh K. Gupta: Optimized Slowdown in Real-Time Task Systems. ECRTS 2004: 155-164
110EENikhil Bansal, Sumit Gupta, Nikil D. Dutt, Alexandru Nicolau, Rajesh K. Gupta: Interconnect-Aware Mapping of Applications to Coarse-Grain Reconfigurable Architectures. FPL 2004: 891-899
109EERavindra Jejurikar, Rajesh K. Gupta: Dynamic voltage scaling for systemwide energy minimization in real-time embedded systems. ISLPED 2004: 78-81
108EERavindra Jejurikar, Rajesh K. Gupta: Procrastination scheduling in fixed priority real-time systems. LCTES 2004: 57-66
107EESumit Gupta, Rajesh K. Gupta, Nikil D. Dutt, Alexandru Nicolau: Coordinated parallelizing compiler optimizations and high-level synthesis. ACM Trans. Design Autom. Electr. Syst. 9(4): 441-470 (2004)
106EERajesh Gupta: Guest editorial: Special issue on networked embedded systems. ACM Trans. Embedded Comput. Syst. 3(1): 1-2 (2004)
105EEJean-Pierre Talpin, Paul Le Guernic, Sandeep K. Shukla, Frederic Doucet, Rajesh K. Gupta: Formal Refinement Checking in a System-level Design Methodology. Fundam. Inform. 62(2): 243-273 (2004)
104EERajesh Gupta: From the Editor in Chief: Predictability in Design and Manufacturing. IEEE Design & Test of Computers 21(1): 1- (2004)
103EERajesh Gupta: From the EIC: Past successes, future challenges. IEEE Design & Test of Computers 21(2): 77-78 (2004)
102EERajesh Gupta: From the EIC: The next EDA challenge - Design for manufacturability. IEEE Design & Test of Computers 21(3): 169- (2004)
101EERajesh Gupta: Silicon for embedded multimedia processing. IEEE Design & Test of Computers 21(5): 345- (2004)
100EERajesh K. Gupta: Verification synergies. IEEE Design & Test of Computers 21(6): 457 (2004)
99EESumit Gupta, Nicolae Savoiu, Nikil D. Dutt, Rajesh K. Gupta, Alexandru Nicolau: Using global code motions to improve the quality of results for high-level synthesis. IEEE Trans. on CAD of Integrated Circuits and Systems 23(2): 302-312 (2004)
2003
98 Rajesh Gupta, Yukihiro Nakamura, Alex Orailoglu, Pai H. Chou: Proceedings of the 1st IEEE/ACM/IFIP International Conference on Hardware/Software Codesign and System Synthesis, CODES+ISSS 2003, Newport Beach, CA, USA, October 1-3, 2003 ACM 2003
97EEJean-Pierre Talpin, Paul Le Guernic, Sandeep K. Shukla, Rajesh K. Gupta, Frederic Doucet: Polychrony for Formal Refinement-Checking in a System-Level Design Methodology. ACSD 2003: 9-19
96EERajesh K. Gupta, Shishpal Rawat, Sandeep K. Shukla, Brian Bailey, Daniel K. Beece, Masahiro Fujita, Carl Pixley, John O'Leary, Fabio Somenzi: Formal verification - prove it or pitch it. DAC 2003: 710-711
95EEVijay Raghunathan, Mani B. Srivastava, Rajesh K. Gupta: A survey of techniques for energy efficient on-chip communication. DAC 2003: 900-905
94EESumit Gupta, Nikil D. Dutt, Rajesh K. Gupta, Alexandru Nicolau: Dynamic Conditional Branch Balancing during the High-Level Synthesis of Control-Intensive Designs. DATE 2003: 10270-10275
93EEFrederic Doucet, Sandeep K. Shukla, Rajesh K. Gupta: Introspection in System-Level Language Frameworks: Meta-Level vs. Integrated. DATE 2003: 10382-10387
92EEJean-Pierre Talpin, Paul Le Guernic, Sandeep K. Shukla, Rajesh K. Gupta, Frederic Doucet: Polychrony for Refinement-Based Design. DATE 2003: 11172-11173
91EERajesh K. Gupta, Sandy Irani, Sandeep K. Shukla: Formal Methods for Dynamic Power Management. ICCAD 2003: 874-882
90EEManev Luthra, Sumit Gupta, Nikil D. Dutt, Rajesh K. Gupta, Alexandru Nicolau: Interface Synthesis using Memory Mapping for an FPGA Platform. ICCD 2003: 140-145
89EERadu Cornea, Nikil D. Dutt, Rajesh K. Gupta, Ingolf Krüger, Alexandru Nicolau, Douglas C. Schmidt, Sandeep K. Shukla: FORGE: A Framework for Optimization of Distributed Embedded Systems Software. IPDPS 2003: 208
88EERajesh K. Gupta, Sandeep K. Shukla: Should the space of implementation possibilities be determined by the abilities of high-level synthesis and validation? MEMOCODE 2003: 277-
87EESandy Irani, Sandeep K. Shukla, Rajesh K. Gupta: Algorithms for power savings. SODA 2003: 37-46
86EESumit Gupta, Nikil D. Dutt, Rajesh K. Gupta, Alexandru Nicolau: SPARK: A High-Lev l Synthesis Framework For Applying Parallelizing Compiler Transformations. VLSI Design 2003: 461-466
85EESandeep K. Shukla, Jean-Pierre Talpin, Stephen A. Edwards, Rajesh K. Gupta: High Level Modeling and Validation Methodologies for Embedded Systems: Bridging the Productivity Gap. VLSI Design 2003: 9-14
84EESandy Irani, Sandeep K. Shukla, Rajesh K. Gupta: Online strategies for dynamic power management in systems with multiple power-saving states. ACM Trans. Embedded Comput. Syst. 2(3): 325-346 (2003)
83EERajesh K. Gupta: Driving Research in System-Chip Design Technology. IEEE Computer 36(7): 95-97 (2003)
82 Rajesh Gupta: From the Editor in Chief: Twenty years! IEEE Design & Test of Computers 20(1): 1- (2003)
81 Rajesh Gupta: From the Editor in Chief: Full Circle? IEEE Design & Test of Computers 20(2): 1- (2003)
80 Rajesh Gupta: From the Editor in Chief: A "Powerful" Issue! IEEE Design & Test of Computers 20(3): 1- (2003)
79EERajesh Gupta: From the Editor in Chief: Addressing Problems of the Large. IEEE Design & Test of Computers 20(4): 3- (2003)
78EERajesh Gupta: At-Speed Testing: A Shared Red Brick between Design and Test. IEEE Design & Test of Computers 20(5): 1- (2003)
77EERajesh Gupta: From the EIC: The changing face of IC design and its industry. IEEE Design & Test of Computers 20(6): 1- (2003)
76EEFrederic Doucet, Sandeep K. Shukla, Masato Otsuka, Rajesh K. Gupta: BALBOA: a component-based design environment for system models. IEEE Trans. on CAD of Integrated Circuits and Systems 22(12): 1597-1612 (2003)
2002
75 Jörg Henkel, Xiaobo Sharon Hu, Rajesh Gupta, Sri Parameswaran: Proceedings of the Tenth International Symposium on Hardware/Software Codesign, CODES 2002, Estes Park, Colorado, USA, May 6-8, 2002 ACM 2002
74EERavindra Jejurikar, Rajesh K. Gupta: Energy aware task scheduling with task synchronization for embedded real time systems. CASES 2002: 164-169
73EESumit Gupta, Nick Savoiu, Nikil D. Dutt, Rajesh K. Gupta, Alexandru Nicolau, Timothy Kam, Michael Kishinevsky, Shai Rotem: Coordinated transformations for high-level synthesis of high performance microprocessor blocks. DAC 2002: 898-903
72EESandy Irani, Rajesh K. Gupta, Sandeep K. Shukla: Competitive Analysis of Dynamic Power Management Strategies for Systems with Multiple Power Savings States. DATE 2002: 117-123
71EEAna Azevedo, Ilya Issenin, Radu Cornea, Rajesh Gupta, Nikil D. Dutt, Alexander V. Veidenbaum, Alexandru Nicolau: Profile-Based Dynamic Voltage Scheduling Using Program Checkpoints. DATE 2002: 168-175
70EEWeiyu Tang, Rajesh K. Gupta, Alexandru Nicolau: Power Savings in Embedded Processors through Decode Filer Cache. DATE 2002: 443-448
69EEFrederic Doucet, Sandeep K. Shukla, Rajesh K. Gupta, Masato Otsuka: An Environment for Dynamic Component Composition for Efficient Co-Design . DATE 2002: 736-743
68EENick Savoiu, Sandeep K. Shukla, Rajesh K. Gupta: Automated Concurrency Re-Assignment in High Level System Models for Efficient System-Level Simulation. DATE 2002: 875-883
67EESandeep K. Shukla, Frederic Doucet, Rajesh K. Gupta: Structured Component Composition Frameworks for Embedded System Design. HiPC 2002: 663-678
66EEWeiyu Tang, Alexander V. Veidenbaum, Alexandru Nicolau, Rajesh K. Gupta: Integrated I-cache Way Predictor and Branch Target Buffer to Reduce Energy Consumption. ISHPC 2002: 120-132
65EERajesh K. Gupta, Sandeep K. Shukla, Nick Savoiu: Efficient Simulation of Synthesis-Oriented System Level Designs. ISSS 2002: 168-173
64EEAlexandru Nicolau, Nikil D. Dutt, Rajesh Gupta, Nick Savoiu, Mehrdad Reshadi, Sumit Gupta: Dynamic Common Sub-Expression Elimination during Scheduling in High-Level Synthesis. ISSS 2002: 261-266
63 Nick Savoiu, Sandeep K. Shukla, Rajesh K. Gupta: Concurrency in System Level Design: Conflict Between Simulation and Synthesis Goals. IWLS 2002: 407-411
62EELuciano Lavagno, Sujit Dey, Rajesh K. Gupta: Specification, Modeling and Design Tools for System-on-Chip (Tutorial Abstract). VLSI Design 2002: 21-23
61EERajesh Gupta: Sustaining an Industry Obsession. IEEE Design & Test of Computers 19(5): 1- (2002)
60 Rajesh Gupta: EIC Message: The Neglected Community. IEEE Design & Test of Computers 19(6): 3- (2002)
59EEAmit Chowdhary, Rajesh K. Gupta: A Methodology for Synthesis of Data Path Circuitse. IEEE Design & Test of Computers 19(6): 90-100 (2002)
58EEDinesh Ramanathan, Sandy Irani, Rajesh K. Gupta: An analysis of system level power management algorithms and theireffects on latency. IEEE Trans. on CAD of Integrated Circuits and Systems 21(3): 291-305 (2002)
57EEKi-Seok Chung, Rajesh K. Gupta, Taewhan Kim, C. L. Liu: Synthesis and Optimization of Combinational Interface Circuits. VLSI Signal Processing 31(3): 243-261 (2002)
2001
56EESumit Gupta, Nick Savoiu, Sunwoo Kim, Nikil D. Dutt, Rajesh K. Gupta, Alexandru Nicolau: Speculation Techniques for High Level Synthesis of Control Intensive Designs. DAC 2001: 269-272
55EERajesh K. Gupta, Shishpal Rawat, Ingrid Verbauwhede, Gérard Berry, Ramesh Chandra, Daniel Gajski, Kris Konigsfeld, Patrick Schaumont: Panel: The Next HDL: If C++ is the Answer, What was the Question? DAC 2001: 71-72
54 Weiyu Tang, Rajesh K. Gupta, Alexandru Nicolau: Design of a Predictive Filter Cache for Energy Savings in High Performance Processor Architectures. ICCD 2001: 68-75
53 Sumit Gupta, Nick Savoiu, Nikil D. Dutt, Rajesh K. Gupta, Alexandru Nicolau: Conditional speculation and its effects on performance and area for high-level snthesis. ISSS 2001: 171-176
52 Frederic Doucet, Rajesh K. Gupta, Masato Otsuka, Patrick Schaumont, Sandeep K. Shukla: Interoperability as a design issue in C++ based modeling environments. ISSS 2001: 87-92
51 Wolfgang Rosenstiel, Brian Bailey, Masahiro Fujita, Guang R. Gao, Rajesh K. Gupta, Preeti Ranjan Panda: New design paradigms. ISSS 2001: 94
2000
50EEDinesh Ramanathan, Ravindra Jejurikar, Rajesh K. Gupta: Timing driven co-design of networked embedded systems. ASP-DAC 2000: 117-122
49EEPrashant Arora, Rajesh K. Gupta: Design and implementation of a hierarchical exception handling extension to systemC. CASES 2000: 80-84
48EEDinesh Ramanathan, Rajesh K. Gupta: System Level Online Power Management Algorithms. DATE 2000: 606-605
47EESumit Gupta, Rajesh K. Gupta, Miguel Miranda, Francky Catthoor: Analysis of High-Level Address Code Transformations for Programmable Processors. DATE 2000: 9-13
46 Dinesh Ramanathan, Sandy Irani, Rajesh K. Gupta: Latency Effects of System Level Power Management Algorithms. ICCAD 2000: 350-356
45EEDinesh Ramanathan, Rajesh K. Gupta, Raymond Roth: Interfacing Hardware and Software Using C++ Class Libraries. ICCD 2000: 445-
44EEXiaomei Ji, Dan Nicolaescu, Alexander V. Veidenbaum, Alexandru Nicolau, Rajesh K. Gupta: Compiler-Directed Cache Assist Adaptivity. ISHPC 2000: 88-104
43EEVivek Sinha, Frederic Doucet, Chuck Siska, Rajesh K. Gupta, Stan Y. Liao, Abhijit Ghosh: YAML: A Tool for Hardware Design Visualization and Capture. ISSS 2000: 9-17
42EEDan Nicolaescu, Xiaomei Ji, Alexander V. Veidenbaum, Alexandru Nicolau, Rajesh K. Gupta: Compiler-Directed Cache Line Size Adaptivity. Intelligent Memory Systems 2000: 183-187
1999
41EEDinesh Ramanathan, Ali Dasdan, Rajesh K. Gupta: Timing-driven HW/SW codesign based on task structuring and process timing simulation. CODES 1999: 203-207
40EEAli Dasdan, Sandy Irani, Rajesh K. Gupta: Efficient Algorithms for Optimum Cycle Mean and Optimum Cost to Time Ratio Problems. DAC 1999: 37-42
39EEAlexander V. Veidenbaum, Weiyu Tang, Rajesh K. Gupta, Alexandru Nicolau, Xiaomei Ji: Adapting cache line size to application behavior. International Conference on Supercomputing 1999: 145-154
38EEAmit Chowdhary, Sudhakar Kale, Phani K. Saripella, Naresh Sehgal, Rajesh K. Gupta: Extraction of functional regularity in datapath circuits. IEEE Trans. on CAD of Integrated Circuits and Systems 18(9): 1279-1296 (1999)
1998
37EEJian Li, Rajesh K. Gupta: HDL code restructuring using timed decision tables. CODES 1998: 131-135
36EEAli Dasdan, Dinesh Ramanathan, Rajesh K. Gupta: Rate Derivation and Its Applications to Reactive, Real-Time Embedded Systems. DAC 1998: 263-268
35EEJian Li, Rajesh K. Gupta: An Algorithm To Determine Mutually Exclusive Operations In Behavioral Descriptions. DATE 1998: 457-
34EEAmit Chowdhary, Sudhakar Kale, Phani K. Saripella, Naresh Sehgal, Rajesh K. Gupta: A general approach for regularity extraction in datapath circuits. ICCAD 1998: 332-339
33EEZhang Yang, Rajesh K. Gupta: A Case Analysis of System Partitioning and Its Relationship To High-Level Synthesis Tasks. VLSI Design 1998: 442-448
32EEAnmol Mathur, Ali Dasdan, Rajesh K. Gupta: Rate analysis for embedded systems. ACM Trans. Design Autom. Electr. Syst. 3(3): 408-436 (1998)
31EEAli Dasdan, Dinesh Ramanathan, Rajesh K. Gupta: A timing-driven design and validation methodology for embedded real-time systems. ACM Trans. Design Autom. Electr. Syst. 3(4): 533-553 (1998)
30EEAli Dasdan, Rajesh K. Gupta: Faster maximum and minimum mean cycle algorithms for system-performance analysis. IEEE Trans. on CAD of Integrated Circuits and Systems 17(10): 889-899 (1998)
1997
29EEJian Li, Rajesh K. Gupta: Limited Exception Modeling and Its Use in Presynthesis Optimizations. DAC 1997: 341-346
28EEStan Y. Liao, Steven W. K. Tjiang, Rajesh K. Gupta: An Efficient Implementation of Reactivity for Modeling Hardware in the Scenic Design Environment. DAC 1997: 70-75
27EESamir Agrawal, Rajesh K. Gupta: Data-Flow Assisted Behavioral Partitioning for Embedded Systems. DAC 1997: 709-712
26EEAli Dasdan, Anmol Mathur, Rajesh K. Gupta: RATAN: A tool for rate analysis and rate constraint debugging for embedded systems. ED&TC 1997: 2-6
25EEJian Li, Rajesh K. Gupta: Decomposition of timed decision tables and its use in presynthesis optimizations. ICCAD 1997: 22-27
24EERajesh K. Gupta, Mani B. Srivastava: Design technology for building wireless systems (tutorial). ICCAD 1997
23 Xingbin Zhang, Ali Dasdan, Martin Schulz, Rajesh K. Gupta, Andrew A. Chien: Architectural Adaptation for Application-Specific Locality Optimization. ICCD 1997: 150-156
22EERajesh K. Gupta, Stan Y. Liao: Using a Programming Language for Digital System Design. IEEE Design & Test of Computers 14(2): 72-80 (1997)
21 Yervant Zorian, Rajesh K. Gupta: Design and Test of Core-Based Systems on Chips. IEEE Design & Test of Computers 14(4): 14- (1997)
20EERajesh K. Gupta, Yervant Zorian: Introducing Core-Based System Design. IEEE Design & Test of Computers 14(4): 15-25 (1997)
19EERajesh K. Gupta, Giovanni De Micheli: Specification and analysis of timing constraints for embedded systems. IEEE Trans. on CAD of Integrated Circuits and Systems 16(3): 240-256 (1997)
1996
18EEJian Li, Rajesh K. Gupta: HDL Optimization Using Timed Decision Tables. DAC 1996: 51-54
17EERajesh K. Gupta: Analysis of Operation Delay and Execution Rate Constraints for Embedded Systems. DAC 1996: 601-604
16EEKi-Seok Chung, Rajesh K. Gupta, C. L. Liu: An algorithm for synthesis of system-level interface circuits. ICCAD 1996: 442-447
15EERajesh K. Gupta, Daniel Gajski, Randy Allen, Yatin Trivedi: Opportunities and pitfalls in HDL-based system design. ICCD 1996: 56-
14EERajesh Gupta: Hardware Software Co-Design of Embedded Systems. VLSI Design 1996: 3
13EEJohn Y. Sayah, Rajesh Gupta, Deepak D. Sherlekar, Philip S. Honsinger, Jitendra M. Apte, S. Wayne Bollinger, Hai Hsia Chen, Sumit DasGupta, Edward P. Hsieh, Andrew D. Huber, Edward J. Hughes, Zahi M. Kurzum, Vasant B. Rao, Thepthai Tabtieng, Vigen Valijan, David Y. Yang: Design planning for high-performance ASICs. IBM Journal of Research and Development 40(4): 431-452 (1996)
1995
12EEVinod Narayananan, David LaPotin, Rajesh K. Gupta, Gopalakrishnan Vijayan: PEPPER - a timing driven early floorplanner. ICCD 1995: 230-235
11EERajesh Gupta, Melvin A. Breuer: Partial scan design of register-transfer level circuits. J. Electronic Testing 7(1-2): 25-46 (1995)
1994
10EERajesh K. Gupta, Giovanni De Micheli: Constrained software generation for hardware-software systems. CODES 1994: 56-63
9 Rajesh K. Gupta, Claudionor José Nunes Coelho Jr., Giovanni De Micheli: Program Implementation Schemes for Hardware-Software Systems. IEEE Computer 27(1): 48-55 (1994)
1993
8EERajesh K. Gupta, Giovanni De Micheli: Hardware-Software Cosynthesis for Digital Systems. IEEE Design & Test of Computers 10(3): 29-41 (1993)
7 Sridhar Narayanan, Rajesh Gupta, Melvin A. Breuer: Optimal Configuring of Multiple Scan Chains. IEEE Trans. Computers 42(9): 1121-1131 (1993)
1992
6EERajesh K. Gupta, Claudionor José Nunes Coelho Jr., Giovanni De Micheli: Synthesis and Simulation of Digital Systems Containing Interacting Hardware and Software Components. DAC 1992: 225-230
5EESridhar Narayanan, Rajesh Gupta, Melvin A. Breuer: Configuring multiple scan chains for minimum test time. ICCAD 1992: 4-8
1991
4 Rajesh Gupta, Melvin A. Breuer: Ordering Storage Elements in a Single Scan Chain. ICCAD 1991: 408-411
1990
3 Rajesh K. Gupta, Giovanni De Micheli: Partitioning of Functional Models of Synchronous Digital Systems. ICCAD 1990: 216-219
2 Rajesh Gupta, Rajiv Gupta, Melvin A. Breuer: The BALLAST Methodology for Structured Partial Scan Design. IEEE Trans. Computers 39(4): 538-544 (1990)
1989
1 Rajiv Gupta, Wesley H. Cheng, Rajesh Gupta, Ido Hardonag, Melvin A. Breuer: An Object-Oriented VLSI CAD Framework: A Case Study in Rapid Prototyping. IEEE Computer 22(5): 28-37 (1989)

Coauthor Index

1Yuvraj Agarwal [137] [142] [149]
2Samir Agrawal [27]
3Randy Allen [15]
4Hans Van Antwerpen [114]
5Jitendra M. Apte [13]
6Prashant Arora [49]
7David Atienza [153] [157]
8Ana Azevedo [71]
9Paramvir Bahl (Victor Bahl) [149]
10Brian Bailey [51] [96]
11Nikhil Bansal [110] [112]
12Daniel K. Beece [96]
13Luca Benini [130] [157]
14David Berner [118]
15Gérard Berry [55]
16S. Wayne Bollinger [13]
17Jean-Yves Bouguet [145]
18Stephen Boyd [153] [157]
19Melvin A. Breuer [1] [2] [4] [5] [7] [11]
20Brad Calder [136]
21Francky Catthoor [47]
22Ramesh Chandra [55]
23Ranveer Chandra [149]
24Hai Hsia Chen [13]
25Wesley H. Cheng [1]
26Andrew A. Chien [23]
27Kevin Chin [149]
28Pai H. Chou [98]
29Amit Chowdhary [34] [38] [59]
30Ki-Seok Chung [16] [57]
31Claudionor José Nunes Coelho Jr. [6] [9]
32Radu Cornea [71] [89] [132]
33Paolo D'Alberto [121]
34Sumit DasGupta [13]
35Ali Dasdan [23] [26] [30] [31] [32] [36] [40] [41]
36Sujit Dey [62]
37Frederic Doucet [43] [52] [67] [69] [76] [92] [93] [97] [105] [141] [143] [151]
38Carole Dulong [145]
39Nikil D. Dutt (Nikil Dutt) [53] [56] [64] [71] [73] [86] [89] [90] [94] [99] [107] [110] [112] [113] [114] [115] [132] [133] [139]
40Stephen A. Edwards [85]
41Masahiro Fujita [51] [96]
42Daniel Gajski (Daniel D. Gajski) [15] [55]
43Abdoulaye Gamatié [118]
44Malay K. Ganai [158]
45Guang R. Gao [51]
46Abhijit Ghosh [43]
47Paul Le Guernic [92] [97] [105] [118] [119]
48Rajiv Gupta [1] [2]
49Sumit Gupta [47] [53] [56] [64] [73] [86] [90] [94] [99] [107] [110] [112] [113] [115] [129] [133] [139]
50Soonhoi Ha [144] [152]
51Ido Hardonag [1]
52Jörg Henkel [75]
53Philip S. Honsinger [13]
54Edward P. Hsieh [13]
55Xiaobo Sharon Hu (Xiaobo Hu) [75]
56Andrew D. Huber [13]
57Edward J. Hughes [13]
58Chalermek Intanagonwiwat [146]
59Sandy Irani [40] [46] [58] [72] [84] [87] [91] [120] [147]
60Ilya Issenin [71]
61Ravindra Jejurikar [50] [74] [108] [109] [111] [116] [134] [135] [138] [140]
62Xiaomei Ji [39] [42] [44]
63Zhong-Yi Jin [148] [156] [161]
64Saurabh Joshi [151]
65Sudhakar Kale [34] [38]
66Timothy Kam [73]
67Arun Kejariwal [115] [133] [139]
68Dohyung Kim [144] [145] [152]
69Minyoung Kim [132]
70Sunwoo Kim [56]
71Taewhan Kim [57]
72Michael Kishinevsky [73]
73Kris Konigsfeld [55]
74Igor Kozintsev [145]
75Ingolf Krüger (Ingolf H. Krüger) [89] [141] [143] [151]
76Sudipta Kundu [150] [158] [159]
77Zahi M. Kurzum [13]
78Marta Z. Kwiatkowska [128]
79David LaPotin [12]
80Jeremy Lau [136]
81Luciano Lavagno [62]
82Kyoungwoo Lee [132]
83Sorin Lerner [150] [159]
84Jian Li [18] [25] [29] [35] [37]
85Stan Y. Liao [22] [28] [43]
86C. L. Liu (Chung Laung (Dave) Liu) [16] [57]
87Marcelo Lubaszewski [160]
88Manev Luthra [90]
89Anmol Mathur [26] [32]
90Massimiliano Menarini [141]
91Giovanni De Micheli [3] [6] [8] [9] [10] [19] [153] [157]
92Miguel Miranda [47]
93Shivajit Mohapatra [114] [132]
94Srinivasan Murali [153] [157]
95Almir Mutapcic [153] [157]
96Yukihiro Nakamura [98]
97Jeffrey Namkung [145]
98Sridhar Narayanan [5] [7]
99Vinod Narayananan [12]
100Dan Nicolaescu [42] [44]
101Alexandru Nicolau (Alex Nicolau) [39] [42] [44] [53] [54] [56] [64] [66] [70] [71] [73] [86] [89] [90] [94] [99] [107] [110] [112] [113] [115] [121] [132] [133] [139]
102Gethin Norman [128]
103John O'Leary [96]
104Hyunok Oh [132]
105Alex Orailoglu [98]
106Masato Otsuka [52] [69] [76]
107Preeti Ranjan Panda [51]
108Sri Parameswaran [75]
109David Parker [128]
110Hiren D. Patel [129]
111Cristiano Pereira [114] [116] [136]
112Trevor Pering [142]
113Carl Pixley [96]
114Vijay Raghunathan [95]
115Dinesh Ramanathan [31] [36] [41] [45] [46] [48] [50] [58]
116Vasant B. Rao [13]
117Shishpal Rawat [55] [96]
118Michel Renovell [160]
119Mehrdad Reshadi [64]
120Wolfgang Rosenstiel [51]
121Shai Rotem [73]
122Raymond Roth [45]
123Phani K. Saripella [34] [38]
124Nicolae Savoiu (Nick Savoiu) [53] [56] [63] [64] [65] [68] [73] [99] [131]
125John Y. Sayah [13]
126Patrick Schaumont [52] [55]
127Douglas C. Schmidt [89]
128Martin Schulz [23]
129Curt Schurgers [137] [148] [161]
130Naresh Sehgal [34] [38]
131Deepak D. Sherlekar [13]
132Sandeep K. Shukla [52] [63] [65] [67] [68] [69] [72] [76] [84] [85] [87] [88] [89] [91] [92] [93] [96] [97] [105] [118] [119] [120] [128] [129] [130] [131] [132] [147]
133R. K. Shyamasundar [117] [143] [151]
134Gaurav Singh [120]
135Vivek Sinha [43]
136Chuck Siska [43]
137Fabio Somenzi [96]
138Mani B. Srivastava [24] [95]
139Ryo Sugihara [154] [155]
140Thepthai Tabtieng [13]
141Jean-Pierre Talpin [85] [92] [97] [105] [118] [119] [141]
142Weiyu Tang [39] [54] [66] [70]
143Steven W. K. Tjiang [28]
144Yatin Trivedi [15]
145Amin Vahdat [146]
146Vigen Valijan [13]
147Alexander V. Veidenbaum [39] [42] [44] [66] [71] [121]
148Nalini Venkatasubramanian [114] [132]
149Ingrid Verbauwhede [55]
150Ralph von Vignau [114]
151Gopalakrishnan Vijayan [12]
152Roy Want [142]
153Alec Wolman [149]
154David Y. Yang [13]
155Zhang Yang [33]
156Xingbin Zhang [23]
157Yervant Zorian [20] [21]

Colors in the list of coauthors

Copyright © Sun May 17 03:24:02 2009 by Michael Ley (ley@uni-trier.de)