dblp.uni-trier.dewww.uni-trier.de

Qikai Chen

List of publications from the DBLP Bibliography Server - FAQ
Coauthor Index - Ask others: ACM DL/Guide - CiteSeer - CSB - Google - MSN - Yahoo

2007
11 Saibal Mukhopadhyay, Qikai Chen, Kaushik Roy: Memories in Scaled Technologies: A Review of Process Induced Failures, Test Methodologies, and Fault Tolerance. DDECS 2007: 69-74
10EEQikai Chen, Arjun Guha, Kaushik Roy: An Accurate Analytical SNM Modeling Technique for SRAMs Based on Butterworth Filter Function. VLSI Design 2007: 615-620
2006
9EEQikai Chen, Saibal Mukhopadhyay, Aditya Bansal, Kaushik Roy: Circuit-aware device design methodology for nanometer technologies: a case study for low power SRAM design. DATE 2006: 983-988
8EEArijit Raychowdhury, Xuanyao Fong, Qikai Chen, Kaushik Roy: Analysis of super cut-off transistors for ultralow power digital logic circuits. ISLPED 2006: 2-7
7EEQikai Chen, Mesut Meterelliyoz, Kaushik Roy: A CMOS Thermal Sensor and Its Applications in Temperature Adaptive Design. ISQED 2006: 243-248
2005
6EEMatthew Cooke, Hamid Mahmoodi-Meimand, Qikai Chen, Kaushik Roy: Energy recovery clocked dynamic logic. ACM Great Lakes Symposium on VLSI 2005: 468-471
5EESwarup Bhunia, Nilanjan Banerjee, Qikai Chen, Hamid Mahmoodi-Meimand, Kaushik Roy: A novel synthesis approach for active leakage power reduction using dynamic supply gating. DAC 2005: 479-484
4EEPatrick Ndai, Amit Agarwal, Qikai Chen, Kaushik Roy: A Soft Error Monitor Using Switching Current Detection. ICCD 2005: 185-192
3EEQikai Chen, Saibal Mukhopadhyay, Hamid Mahmoodi, Kaushik Roy: Process Variation Tolerant Online Current Monitor for Robust Systems. IOLTS 2005: 171-176
2EEQikai Chen, Hamid Mahmoodi-Meimand, Swarup Bhunia, Kaushik Roy: Modeling and Testing of SRAM for New Failure Mechanisms Due to Process Variations in Nanoscale CMOS. VTS 2005: 292-297
1EEQikai Chen, Hamid Mahmoodi-Meimand, Swarup Bhunia, Kaushik Roy: Efficient testing of SRAM with optimized march sequences and a novel DFT technique for emerging failures due to process variations. IEEE Trans. VLSI Syst. 13(11): 1286-1295 (2005)

Coauthor Index

1Amit Agarwal [4]
2Nilanjan Banerjee [5]
3Aditya Bansal [9]
4Swarup Bhunia [1] [2] [5]
5Matthew Cooke [6]
6Xuanyao Fong [8]
7Arjun Guha [10]
8Hamid Mahmoodi (Hamid Mahmoodi-Meimand) [1] [2] [3] [5] [6]
9Mesut Meterelliyoz [7]
10Saibal Mukhopadhyay [3] [9] [11]
11Patrick Ndai [4]
12Arijit Raychowdhury [8]
13Kaushik Roy [1] [2] [3] [4] [5] [6] [7] [8] [9] [10] [11]

Copyright © Sun May 17 03:24:02 2009 by Michael Ley (ley@uni-trier.de)