dblp.uni-trier.dewww.uni-trier.de

Seiji Kajihara

List of publications from the DBLP Bibliography Server - FAQ
Coauthor Index - Ask others: ACM DL/Guide - CiteSeer - CSB - Google - MSN - Yahoo

2008
74EEIlia Polian, Kohei Miyase, Yusuke Nakamura, Seiji Kajihara, Piet Engelke, Bernd Becker, Stefan Spinner, Xiaoqing Wen: Diagnosis of Realistic Defects Based on the X-Fault Model. DDECS 2008: 263-266
73EEKohei Miyase, Kenji Noda, Hideaki Ito, Kazumi Hatayama, Takashi Aikyo, Yuta Yamato, Hiroshi Furukawa, Xiaoqing Wen, Seiji Kajihara: Effective IR-drop reduction in at-speed scan testing using Distribution-Controlling X-Identification. ICCAD 2008: 52-58
72EESeiji Kajihara, Michiko Inoue: Special Section on Test and Verification of VLSIs. IEICE Transactions 91-D(3): 640-641 (2008)
71EEYuta Yamato, Yusuke Nakamura, Kohei Miyase, Xiaoqing Wen, Seiji Kajihara: A Novel Per-Test Fault Diagnosis Method Based on the Extended X-Fault Model for Deep-Submicron LSI Circuits. IEICE Transactions 91-D(3): 667-674 (2008)
70EEKohei Miyase, Kenta Terashima, Xiaoqing Wen, Seiji Kajihara, Sudhakar M. Reddy: On Detection of Bridge Defects with Stuck-at Tests. IEICE Transactions 91-D(3): 683-689 (2008)
69EEXiaoqing Wen, Kohei Miyase, Tatsuya Suzuki, Seiji Kajihara, Laung-Terng Wang, Kewal K. Saluja, Kozo Kinoshita: Low Capture Switching Activity Test Generation for Reducing IR-Drop in At-Speed Scan Testing. J. Electronic Testing 24(4): 379-391 (2008)
2007
68EEXiaoqing Wen, Kohei Miyase, Tatsuya Suzuki, Seiji Kajihara, Yuji Ohsumi, Kewal K. Saluja: Critical-Path-Aware X-Filling for Effective IR-Drop Reduction in At-Speed Scan Testing. DAC 2007: 527-532
67EESeiji Kajihara, Shohei Morishima, Masahiro Yamamoto, Xiaoqing Wen, Masayasu Fukunaga, Kazumi Hatayama, Takashi Aikyo: Estimation of delay test quality and its application to test generation. ICCAD 2007: 413-417
66EEXiaoqing Wen, Seiji Kajihara, Kohei Miyase, Tatsuya Suzuki, Kewal K. Saluja, Laung-Terng Wang, Kozo Kinoshita: A Novel ATPG Method for Capture Power Reduction during Scan Testing. IEICE Transactions 90-D(9): 1398-1405 (2007)
2006
65EEMasayasu Fukunaga, Seiji Kajihara, Xiaoqing Wen, Toshiyuki Maeda, Shuji Hamada, Yasuo Sato: A dynamic test compaction procedure for high-quality path delay testing. ASP-DAC 2006: 348-353
64EEXiaoqing Wen, Kohei Miyase, Tatsuya Suzuki, Yuta Yamato, Seiji Kajihara, Laung-Terng Wang, Kewal K. Saluja: Highly-Guided X-Filling Method for Effective Low-Capture-Power Scan Test Generation. ICCD 2006
63EEXiaoqing Wen, Seiji Kajihara, Kohei Miyase, Tatsuya Suzuki, Kewal K. Saluja, Laung-Terng Wang, Khader S. Abdel-Hafez, Kozo Kinoshita: A New ATPG Method for Efficient Capture Power Reduction During Scan Testing. VTS 2006: 58-65
62EEYasuo Sato, Shuji Hamada, Toshiyuki Maeda, Atsuo Takatori, Seiji Kajihara: A Statistical Quality Model for Delay Testing. IEICE Transactions 89-C(3): 349-355 (2006)
61EEYoshinobu Higami, Seiji Kajihara, Irith Pomeranz, Shin-ya Kobayashi, Yuzo Takamatsu: On Finding Don't Cares in Test Sequences for Sequential Circuits. IEICE Transactions 89-D(11): 2748-2755 (2006)
60EEXiaoqing Wen, Seiji Kajihara, Kohei Miyase, Yuta Yamato, Kewal K. Saluja, Laung-Terng Wang, Kozo Kinoshita: A Per-Test Fault Diagnosis Method Based on the X-Fault Model. IEICE Transactions 89-D(11): 2756-2765 (2006)
59EEXiaoqing Wen, Yoshiyuki Yamashita, Seiji Kajihara, Laung-Terng Wang, Kewal K. Saluja, Kozo Kinoshita: A New Method for Low-Capture-Power Test Generation for Scan Testing. IEICE Transactions 89-D(5): 1679-1686 (2006)
2005
58EEYasuo Sato, Shuji Hamada, Toshiyuki Maeda, Atsuo Takatori, Seiji Kajihara: Evaluation of the statistical delay quality model. ASP-DAC 2005: 305-310
57EEYasumi Doi, Seiji Kajihara, Xiaoqing Wen, Lei Li, Krishnendu Chakrabarty: Test compression for scan circuits using scan polarity adjustment and pinpoint test relaxation. ASP-DAC 2005: 59-64
56EEKohei Miyase, Kenta Terashima, Seiji Kajihara, Xiaoqing Wen, Sudhakar M. Reddy: On Improving Defect Coverage of Stuck-at Fault Tests. Asian Test Symposium 2005: 216-223
55EESeiji Kajihara, Masayasu Fukunaga, Xiaoqing Wen, Toshiyuki Maeda, Shuji Hamada, Yasuo Sato: Path delay test compaction with process variation tolerance. DAC 2005: 845-850
54EELei Li, Krishnendu Chakrabarty, Seiji Kajihara, Shivakumar Swaminathan: Efficient Space/Time Compression to Reduce Test Data Volume and Testing Time for IP Cores. VLSI Design 2005: 53-58
53EEXiaoqing Wen, Yoshiyuki Yamashita, Seiji Kajihara, Laung-Terng Wang, Kewal K. Saluja, Kozo Kinoshita: On Low-Capture-Power Test Generation for Scan Testing. VTS 2005: 265-270
52EEXiaoqing Wen, Seiji Kajihara, Hideo Tamamoto, Kewal K. Saluja, Kozo Kinoshita: On Design for IDDQ-Based Diagnosability of CMOS Circuits Using Multiple Power Supplies. IEICE Transactions 88-D(4): 703-710 (2005)
51EEMasayasu Fukunaga, Seiji Kajihara, Sadami Takeoka: On Statistical Estimation of Fault Efficiency for Path Delay Faults Based on Untestable Path Analysis. IEICE Transactions 88-D(7): 1671-1677 (2005)
50EEXiaoqing Wen, Tatsuya Suzuki, Seiji Kajihara, Kohei Miyase, Yoshihiro Minamoto, Laung-Terng Wang, Kewal K. Saluja: Efficient Test Set Modification for Capture Power Reduction. J. Low Power Electronics 1(3): 319-330 (2005)
49EEYoshinobu Higami, Seiji Kajihara, Hideyuki Ichihara, Yuzo Takamatsu: Test cost reduction for logic circuits: Reduction of test data volume and test application time. Systems and Computers in Japan 36(6): 69-83 (2005)
2004
48EEYoshinobu Higami, Seiji Kajihara, Shin-ya Kobayashi, Yuzo Takamatsu: Techniques for Finding Xs in Test Sequences for Sequential Circuits and Applications to Test Length/Power Reduction. Asian Test Symposium 2004: 46-49
47EEKohei Miyase, Seiji Kajihara, Sudhakar M. Reddy: Multiple Scan Tree Design with Test Vector Modification. Asian Test Symposium 2004: 76-81
46EEXiaoqing Wen, Tokiharu Miyoshi, Seiji Kajihara, Laung-Terng Wang, Kewal K. Saluja, Kozo Kinoshita: On per-test fault diagnosis using the X-fault model. ICCAD 2004: 633-640
45EEDong Hyun Baik, Kewal K. Saluja, Seiji Kajihara: Random Access Scan: A solution to test power, test data volume and test time. VLSI Design 2004: 883-888
44EEKohei Miyase, Seiji Kajihara: XID: Don't care identification of test patterns for combinational circuits. IEEE Trans. on CAD of Integrated Circuits and Systems 23(2): 321-326 (2004)
2003
43EEKohei Miyase, Seiji Kajihara: Optimal Scan Tree Construction with Test Vector Modification for Test Compression. Asian Test Symposium 2003: 136-141
42EEMasayasu Fukunaga, Seiji Kajihara, Sadami Takeoka: On Estimation of Fault Efficiency for Path Delay Faults. Asian Test Symposium 2003: 64-67
41EESeiji Kajihara, Yasumi Doi, Lei Li, Krishnendu Chakrabarty: On Combining Pinpoint Test Set Relaxation and Run-Length Codes for Reducing Test Data Volume. ICCD 2003: 387-396
40EEYoshinobu Higami, Shin-ya Kobayashi, Yuzo Takamatsu, Seiji Kajihara, Irith Pomeranz: A Method to Find Don't Care Values in Test Sequences for Sequential Circuits. ICCD 2003: 397-
39EESudhakar M. Reddy, Kohei Miyase, Seiji Kajihara, Irith Pomeranz: On test data volume reduction for multiple scan chain designs. ACM Trans. Design Autom. Electr. Syst. 8(4): 460-469 (2003)
38EEYun Shao, Sudhakar M. Reddy, Irith Pomeranz, Seiji Kajihara: On Selecting Testable Paths in Scan Designs. J. Electronic Testing 19(4): 447-456 (2003)
37EETakeshi Asakawa, Kazuhiko Iwasaki, Seiji Kajihara: BIST-oriented test pattern generator for detection of transition faults. Systems and Computers in Japan 34(3): 76-84 (2003)
2002
36EESeiji Kajihara, Kenjiro Taniguchi, Kohei Miyase, Irith Pomeranz, Sudhakar M. Reddy: Test Data Compression Using Don?t-Care Identification and Statistical Encoding. Asian Test Symposium 2002: 67-
35EEKohei Miyase, Seiji Kajihara, Sudhakar M. Reddy: A Method of Static Test Compaction Based on Don't Care Identification. DELTA 2002: 392-395
34EESeiji Kajihara, Kenjiro Taniguchi, Irith Pomeranz, Sudhakar M. Reddy: Test Data Compression Using Don't-Care Identification and Statistical Encoding. DELTA 2002: 413-416
33EEKohei Miyase, Seiji Kajihara, Irith Pomeranz, Sudhakar M. Reddy: Don't-Care Identification on Specific Bits of Test Patterns. ICCD 2002: 194-199
32EESudhakar M. Reddy, Irith Pomeranz, Huaxing Tang, Seiji Kajihara, Kozo Kinoshita: On Testing of Interconnect Open Defects in Combinational Logic Circuits with Stems of Large Fanout. ITC 2002: 83-89
31EESudhakar M. Reddy, Kohei Miyase, Seiji Kajihara, Irith Pomeranz: On Test Data Volume Reduction for Multiple Scan Chain Designs. VTS 2002: 103-110
30EESeiji Kajihara, Koji Ishida, Kohei Miyase: Test Vector Modification for Power Reduction during Scan Testing. VTS 2002: 160-165
2001
29EEYun Shao, Sudhakar M. Reddy, Seiji Kajihara, Irith Pomeranz: An Efficient Method to Identify Untestable Path Delay Faults. Asian Test Symposium 2001: 233-238
28EEKenichi Ichino, Takeshi Asakawa, Satoshi Fukumoto, Kazuhiko Iwasaki, Seiji Kajihara: Hybrid BIST Using Partially Rotational Scan. Asian Test Symposium 2001: 379-384
27EESeiji Kajihara, Kohei Miyase: On Identifying Don't Care Inputs of Test Patterns for Combinational Circuits. ICCAD 2001: 364-369
2000
26EESeiji Kajihara, Takashi Shimono, Irith Pomeranz, Sudhakar M. Reddy: Enhanced untestable path analysis using edge graphs. Asian Test Symposium 2000: 139-144
25 Sudhakar M. Reddy, Irith Pomeranz, Seiji Kajihara, Atsushi Murakami, Sadami Takeoka, Mitsuyasu Ohta: On validating data hold times for flip-flops in sequential circuits. ITC 2000: 317-325
24 Atsushi Murakami, Seiji Kajihara, Tsutomu Sasao, Irith Pomeranz, Sudhakar M. Reddy: Selection of potentially testable path delay faults for test generation. ITC 2000: 376-384
1999
23EEHideyuki Ichihara, Kozo Kinoshita, Seiji Kajihara: On an Effective Selection of IDDQ Measurement Vectors for Sequential Circuits. Asian Test Symposium 1999: 147-152
22EESeiji Kajihara, Atsushi Murakami, Tomohisa Kaneko: On Compact Test Sets for Multiple Stuck-at Faults for Large Circuits. Asian Test Symposium 1999: 20-24
21EEHideyuki Ichihara, Kozo Kinoshita, Seiji Kajihara: On Test Generation with A Limited Number of Tests. Great Lakes Symposium on VLSI 1999: 12-15
1998
20EEHideyuki Ichihara, Seiji Kajihara, Kozo Kinoshita: An Efficient Procedure for Obtaining Implication Relations and Its Application to Redundancy Identification. Asian Test Symposium 1998: 58-63
19EESeiji Kajihara, Kewal K. Saluja: On Test Pattern Compaction Using Random Pattern Fault Simulation. VLSI Design 1998: 464-469
1997
18EESeiji Kajihara, Tsutomu Sasao: On the Adders with Minimum Tests. Asian Test Symposium 1997: 10-15
17EESeiji Kajihara, Kozo Kinoshita, Irith Pomeranz, Sudhakar M. Reddy: A Method for Identifying Robust Dependent and Functionally Unsensitizable Paths. VLSI Design 1997: 82-87
16EESudhakar M. Reddy, Irith Pomeranz, Seiji Kajihara: Compact test sets for high defect coverage. IEEE Trans. on CAD of Integrated Circuits and Systems 16(8): 923-930 (1997)
15EEHiroyuki Yotsuyanagi, Seiji Kajihara, Kozo Kinoshita: Synthesis of Sequential Circuits by Redundancy Removal and Retiming. J. Electronic Testing 11(1): 81-92 (1997)
14EEAtsushi Yoshikawa, Seiji Kajihara, Masahiro Numa, Kozo Kinoshita: A diagnosis method for single logic design errors in gate-level combinational circuits. Systems and Computers in Japan 28(6): 30-39 (1997)
13EEHideyuki Ichihara, Kozo Kinoshita, Seiji Kajihara: On invariant implication relations for removing partial circuits. Systems and Computers in Japan 28(7): 39-47 (1997)
1996
12EEYoshinobu Higami, Seiji Kajihara, Kozo Kinoshita: Partially Parallel Scan Chain for Test Length Reduction by Using Retiming Technique. Asian Test Symposium 1996: 94-99
11EESudhakar M. Reddy, Irith Pomeranz, Seiji Kajihara: On the effects of test compaction on defect coverage. VTS 1996: 430-437
1995
10EEYoshinobu Higami, Seiji Kajihara, Kozo Kinoshita: Test sequence compaction by reduced scan shift and retiming. Asian Test Symposium 1995: 169-175
9 Hiroyuki Yotsuyanagi, Seiji Kajihara, Kozo Kinoshita: Synthesis for Testability by Sequential Redundancy Removal Using Retiming. FTCS 1995: 33-40
8EEHiroyuki Yotsuyanagi, Seiji Kajihara, Kozo Kinoshita: Resynthesis for sequential circuits designed with a specified initial state. VTS 1995: 152-157
7EERemata S. Reddy, Irith Pomeranz, Sudhakar M. Reddy, Seiji Kajihara: Compact test generation for bridging faults under I/sub DDQ/ testing. VTS 1995: 310-316
6EESeiji Kajihara, Irith Pomeranz, Kozo Kinoshita, Sudhakar M. Reddy: Cost-effective generation of minimal test sets for stuck-at faults in combinational logic circuits. IEEE Trans. on CAD of Integrated Circuits and Systems 14(12): 1496-1504 (1995)
5EEYoshinobu Higami, Seiji Kajihara, Kozo Kinoshita: Partial scan design and test sequence generation based on reduced scan shift method. J. Electronic Testing 7(1-2): 115-124 (1995)
1994
4 Yoshinobu Higami, Seiji Kajihara, Kozo Kinoshita: Reduced Scan Shift: A New Testing Method for Sequential Circuit. ITC 1994: 624-630
1993
3EESeiji Kajihara, Irith Pomeranz, Kozo Kinoshita, Sudhakar M. Reddy: Cost-Effective Generation of Minimal Test Sets for Stuck-at Faults in Combinational Logic Circuits. DAC 1993: 102-106
2EESeiji Kajihara, Tetsuji Sumioka, Kozo Kinoshita: Test generation for multiple faults based on parallel vector pair analysis. ICCAD 1993: 436-439
1992
1 Seiji Kajihara, Haruko Shiba, Kozo Kinoshita: Removal of Redundancy in Logic Circuits under Classification of Undetectable Faults. FTCS 1992: 263-270

Coauthor Index

1Khader S. Abdel-Hafez [63]
2Takashi Aikyo [67] [73]
3Takeshi Asakawa [28] [37]
4Dong Hyun Baik [45]
5Bernd Becker [74]
6Krishnendu Chakrabarty [41] [54] [57]
7Yasumi Doi [41] [57]
8Piet Engelke [74]
9Satoshi Fukumoto [28]
10Masayasu Fukunaga [42] [51] [55] [65] [67]
11Hiroshi Furukawa [73]
12Shuji Hamada [55] [58] [62] [65]
13Kazumi Hatayama [67] [73]
14Yoshinobu Higami [4] [5] [10] [12] [40] [48] [49] [61]
15Hideyuki Ichihara [13] [20] [21] [23] [49]
16Kenichi Ichino [28]
17Michiko Inoue [72]
18Koji Ishida [30]
19Hideaki Ito [73]
20Kazuhiko Iwasaki [28] [37]
21Tomohisa Kaneko [22]
22Kozo Kinoshita [1] [2] [3] [4] [5] [6] [8] [9] [10] [12] [13] [14] [15] [17] [20] [21] [23] [32] [46] [52] [53] [59] [60] [63] [66] [69]
23Shin-ya Kobayashi [40] [48] [61]
24Lei Li [41] [54] [57]
25Toshiyuki Maeda [55] [58] [62] [65]
26Yoshihiro Minamoto [50]
27Kohei Miyase [27] [30] [31] [33] [35] [36] [39] [43] [44] [47] [50] [56] [60] [63] [64] [66] [68] [69] [70] [71] [73] [74]
28Tokiharu Miyoshi [46]
29Shohei Morishima [67]
30Atsushi Murakami [22] [24] [25]
31Yusuke Nakamura [71] [74]
32Kenji Noda [73]
33Masahiro Numa [14]
34Yuji Ohsumi [68]
35Mitsuyasu Ohta [25]
36Ilia Polian [74]
37Irith Pomeranz [3] [6] [7] [11] [16] [17] [24] [25] [26] [29] [31] [32] [33] [34] [36] [38] [39] [40] [61]
38Remata S. Reddy [7]
39Sudhakar M. Reddy [3] [6] [7] [11] [16] [17] [24] [25] [26] [29] [31] [32] [33] [34] [35] [36] [38] [39] [47] [56] [70]
40Kewal K. Saluja [19] [45] [46] [50] [52] [53] [59] [60] [63] [64] [66] [68] [69]
41Tsutomu Sasao [18] [24]
42Yasuo Sato [55] [58] [62] [65]
43Yun Shao [29] [38]
44Haruko Shiba [1]
45Takashi Shimono [26]
46Stefan Spinner [74]
47Tetsuji Sumioka [2]
48Tatsuya Suzuki [50] [63] [64] [66] [68] [69]
49Shivakumar Swaminathan [54]
50Yuzo Takamatsu [40] [48] [49] [61]
51Atsuo Takatori [58] [62]
52Sadami Takeoka [25] [42] [51]
53Hideo Tamamoto [52]
54Huaxing Tang [32]
55Kenjiro Taniguchi [34] [36]
56Kenta Terashima [56] [70]
57Laung-Terng Wang [46] [50] [53] [59] [60] [63] [64] [66] [69]
58Xiaoqing Wen [46] [50] [52] [53] [55] [56] [57] [59] [60] [63] [64] [65] [66] [67] [68] [69] [70] [71] [73] [74]
59Masahiro Yamamoto [67]
60Yoshiyuki Yamashita [53] [59]
61Yuta Yamato [60] [64] [71] [73]
62Atsushi Yoshikawa [14]
63Hiroyuki Yotsuyanagi [8] [9] [15]

Copyright © Sun May 17 03:24:02 2009 by Michael Ley (ley@uni-trier.de)