dblp.uni-trier.dewww.uni-trier.de

Taewhan Kim

List of publications from the DBLP Bibliography Server - FAQ
Coauthor Index - Ask others: ACM DL/Guide - CiteSeer - CSB - Google - MSN - Yahoo

2008
84EEByungHyun Lee, Taewhan Kim: Optimal allocation and placement of thermal sensors for reconfigurable systems and its practical extension. ASP-DAC 2008: 703-707
83EEYongho Lee, Deog-Kyoon Jeong, Taewhan Kim: Simultaneous control of power/ground current, wakeup time and transistor overhead in power gated circuits. ICCAD 2008: 169-172
82EEYesin Ryu, Taewhan Kim: Clock buffer polarity assignment combined with clock tree generation for power/ground noise minimization. ICCAD 2008: 416-419
81EEEunjoo Choi, Changsik Shin, Taewhan Kim, Youngsoo Shin: Power-gating-aware high-level synthesis. ISLPED 2008: 39-44
80EESoonhoi Ha, Kiyoung Choi, Taewhan Kim, Krisztián Flautner, Sang Lyul Min, Wang Yi: Introduction to embedded systems week 2006 special issue. ACM Trans. Embedded Comput. Syst. 7(2): (2008)
79EEBenjamin Carrión Schäfer, Taewhan Kim: Hotspots Elimination and Temperature Flattening in VLSI Circuits. IEEE Trans. VLSI Syst. 16(11): 1475-1487 (2008)
2007
78 Taewhan Kim, Pascal Sainrat, Steven S. Lumetta, Nacho Navarro: Proceedings of the 2007 International Conference on Compilers, Architecture, and Synthesis for Embedded Systems, CASES 2007, Salzburg, Austria, September 30 - October 3, 2007 ACM 2007
77EEKyoung-Hwan Lim, YongHwan Kim, Taewhan Kim: Interconnect and Communication Synthesis for Distributed Register-File Microarchitecture. DAC 2007: 765-770
76EEJongyoon Jung, Taewhan Kim: Timing variation-aware high-level synthesis. ICCAD 2007: 424-428
75EEZhenmin Li, Taewhan Kim: Address Code Optimization Exploiting Code Scheduling in DSP Applications. ISCAS 2007: 1573-1576
74EEBenjamin Carrión Schäfer, Yongho Lee, Taewhan Kim: Temperature-Aware Compilation for VLIWProcessors. RTCSA 2007: 426-431
73EETaewhan Kim, Jungeun Kim: Integration of Code Scheduling, Memory Allocation, and Array Binding for Memory-Access Optimization. IEEE Trans. on CAD of Integrated Circuits and Systems 26(1): 142-151 (2007)
72EEYongseok Choi, Naehyuck Chang, Taewhan Kim: DC-DC Converter-Aware Power Management for Low-Power Embedded Systems. IEEE Trans. on CAD of Integrated Circuits and Systems 26(8): 1367-1381 (2007)
2006
71 Seongsoo Hong, Wayne Wolf, Krisztián Flautner, Taewhan Kim: Proceedings of the 2006 International Conference on Compilers, Architecture, and Synthesis for Embedded Systems, CASES 2006, Seoul, Korea, October 22-25, 2006 ACM 2006
70EEYoung-Jun Kim, Taewhan Kim: HW/SW partitioning techniques for multi-mode multi-task embedded applications. ACM Great Lakes Symposium on VLSI 2006: 25-30
69EEPilok Lim, Taewhan Kim: Thermal-aware high-level synthesis based on network flow method. CODES+ISSS 2006: 124-129
68EEJunhyung Um, Woo-Cheol Kwon, Sungpack Hong, Young-Taek Kim, Kyu-Myung Choi, Jeong-Taek Kong, Soo-Kwan Eo, Taewhan Kim: A systematic IP and bus subsystem modeling for platform-based system design. DATE 2006: 560-564
67EETaewhan Kim: Application-Driven Low-Power Techniques Using Dynamic Voltage Scaling. RTCSA 2006: 199-206
66EEJaewon Seo, Taewhan Kim, Joonwon Lee: Optimal intratask dynamic voltage-scaling technique and its practical extensions. IEEE Trans. on CAD of Integrated Circuits and Systems 25(1): 47-57 (2006)
65EEYoonseo Choi, Taewhan Kim: Memory Access Driven Storage Assignment for Variables in Embedded System Design. Journal of Circuits, Systems, and Computers 15(2): 145-168 (2006)
64EEJunhyung Um, Taewhan Kim: Resource Sharing Combined with Layout Effects in High-Level Synthesis. VLSI Signal Processing 44(3): 231-243 (2006)
63EEYoung-Jun Kim, Taewhan Kim: A HW/SW Partitioner for Multi-Mode Multi-Task Embedded Applications. VLSI Signal Processing 44(3): 269-283 (2006)
2005
62EEJungeun Kim, Taewhan Kim: Memory access optimization through combined code scheduling, memory allocation, and array binding in embedded system design. DAC 2005: 105-110
61EEYongseok Choi, Naehyuck Chang, Taewhan Kim: DC-DC converter-aware power management for battery-operated embedded systems. DAC 2005: 895-900
60 Jaewon Seo, Taewhan Kim, Nikil D. Dutt: Optimal integration of inter-task and intra-task dynamic voltage scaling techniques for hard real-time applications. ICCAD 2005: 450-455
59EEWoo-Cheol Kwon, Taewhan Kim: Optimal voltage allocation techniques for dynamically variable voltage processors. ACM Trans. Embedded Comput. Syst. 4(1): 211-230 (2005)
58EEYoonseo Choi, Taewhan Kim, Hwansoo Han: Memory layout techniques for variables utilizing efficient DRAM access modes in embedded system design. IEEE Trans. on CAD of Integrated Circuits and Systems 24(2): 278-287 (2005)
2004
57EEKeoncheol Shin, Taewhan Kim: Leakage power minimization for the synthesis of parallel multiplier circuits. ACM Great Lakes Symposium on VLSI 2004: 166-169
56EEKeoncheol Shin, Taewhan Kim: An integrated approach to timing-driven synthesis and placement of arithmetic circuits. ASP-DAC 2004: 155-158
55EEYoonseo Choi, Taewhan Kim: Memory access driven storage assignment for variables in embedded system design. ASP-DAC 2004: 478-481
54EEMeeyoung Cha, Chun-Gi Lyuh, Taewhan Kim: Resource-constrained low-power bus encoding with crosstalk delay elimination. ASP-DAC 2004: 834-837
53EEChun-Gi Lyuh, Taewhan Kim: Memory access scheduling and binding considering energy minimization in multi-bank memory systems. DAC 2004: 81-86
52EEJaewon Seo, Taewhan Kim, Ki-Seok Chung: Profile-based optimal intra-task voltage scheduling for hard real-time applications. DAC 2004: 87-92
51EENak-Woong Eum, Taewhan Kim, Chong-Min Kyung: CeRA: A Router for Symmetrical FPGAs Based on Exact Routing Density Evaluation. IEEE Trans. Computers 53(7): 829-842 (2004)
50EEKeoncheol Shin, Taewhan Kim: Tight integration of timing-driven synthesis and placement of parallel multiplier circuits. IEEE Trans. VLSI Syst. 12(7): 766-775 (2004)
49EEChun-Gi Lyuh, Taewhan Kim, Ki-Wook Kim: Coupling-aware high-level interconnect synthesis [IC layout]. IEEE Trans. on CAD of Integrated Circuits and Systems 23(1): 157-164 (2004)
2003
48EEWoo-Cheol Kwon, Taewhan Kim: Optimal voltage allocation techniques for dynamically variable voltage processors. DAC 2003: 125-130
47EEYoonseo Choi, Taewhan Kim: Memory layout techniques for variables utilizing efficient DRAM access modes in embedded system design. DAC 2003: 881-886
46EEJunhyung Um, Taewhan Kim: Code Placement with Selective Cache Activity Minimization for Embedded Real-time Software Design. ICCAD 2003: 197-200
45EEKi-Wook Kim, Seong-Ook Jung, Taewhan Kim, Sung-Mo Kang: Minimum delay optimization for domino circuits - a coupling-aware approach. ACM Trans. Design Autom. Electr. Syst. 8(2): 202-213 (2003)
44EEChun-Gi Lyuh, Taewhan Kim: High-level synthesis for low power based on network flow method. IEEE Trans. VLSI Syst. 11(3): 364-375 (2003)
43EEKi-Wook Kim, Seong-Ook Jung, Taewhan Kim, Prashant Saxena, C. L. Liu, S.-M. S. Kang: Coupling delay optimization by temporal decorrelation using dual threshold voltage technique. IEEE Trans. VLSI Syst. 11(5): 879-887 (2003)
42EEJaewon Seo, Taewhan Kim, Preeti Ranjan Panda: Memory allocation and mapping in high-level synthesis - an integrated approach. IEEE Trans. VLSI Syst. 11(5): 928-938 (2003)
41EEJunhyung Um, Taewhan Kim: Synthesis of arithmetic circuits considering layout effects. IEEE Trans. on CAD of Integrated Circuits and Systems 22(11): 1487-1503 (2003)
40EEYoonseo Choi, Taewhan Kim: Address assignment in DSP code generation - an integrated approach. IEEE Trans. on CAD of Integrated Circuits and Systems 22(8): 976-984 (2003)
39EESungpack Hong, Taewhan Kim: Bus Optimization for Low Power in High-Level Synthesis. Journal of Circuits, Systems, and Computers 12(1): 1-18 (2003)
2002
38EEJunhyung Um, Taewhan Kim: Layout-aware synthesis of arithmetic circuits. DAC 2002: 207-212
37EEYoonseo Choi, Taewhan Kim: Address assignment combined with scheduling in DSP code generation. DAC 2002: 225-230
36EEJaewon Seo, Taewhan Kim, Preeti Ranjan Panda: An integrated algorithm for memory allocation and assignment in high-level synthesis. DAC 2002: 608-611
35EEChun-Gi Lyuh, Taewhan Kim, Ki-Wook Kim: Coupling-aware high-level interconnect synthesis for low power. ICCAD 2002: 609-613
34EEJunhyung Um, Jae-hoon Kim, Taewhan Kim: Layout-driven resource sharing in high-level synthesis. ICCAD 2002: 614-618
33EEYoonseo Choi, Taewhan Kim: An efficient low-power binding algorithm in high-level synthesis. ISCAS (4) 2002: 321-324
32EEJaewon Seo, Taewhan Kim: Memory exploration utilizing scheduling effects in high-level synthesis. ISCAS (4) 2002: 73-76
31EEUnni Narayanan, Ki-Seok Chung, Taewhan Kim: Enhanced bus invert encodings for low-power. ISCAS (5) 2002: 25-28
30EEYoonseo Choi, Taewhan Kim: Address code optimization using code scheduling for digital signal processors. ISCAS (5) 2002: 481-484
29EEKi-Wook Kim, Taewhan Kim, TingTing Hwang, Sung-Mo Kang, C. L. Liu: Logic transformation for low-power synthesis. ACM Trans. Design Autom. Electr. Syst. 7(2): 265-283 (2002)
28EEKi-Wook Kim, Taewhan Kim, C. L. Liu, Sung-Mo Kang: Domino logic synthesis based on implication graph. IEEE Trans. on CAD of Integrated Circuits and Systems 21(2): 232-240 (2002)
27EEKi-Seok Chung, Taewhan Kim, C. L. Liu: A Complete Model for Glitch Analysis in Logic Circuits. Journal of Circuits, Systems, and Computers 11(2): 137-154 (2002)
26EEYoonseo Choi, Taewhan Kim: Binding Algorithm for Power Optimization Based on Network Flow Method. Journal of Circuits, Systems, and Computers 11(3): 259-272 (2002)
25EEKi-Seok Chung, Rajesh K. Gupta, Taewhan Kim, C. L. Liu: Synthesis and Optimization of Combinational Interface Circuits. VLSI Signal Processing 31(3): 243-261 (2002)
2001
24EENak-Woong Eum, Taewhan Kim, Chong-Min Kyung: An accurate evaluation of routing density for symmetrical FPGAs. ACM Great Lakes Symposium on VLSI 2001: 51-55
23EEYoungtae Kim, Taewhan Kim: Accurate exploration of timing and area trade-offs in arithmetic optimization using carry-save-adders. ASP-DAC 2001: 622-628
22EETaewhan Kim, Ki-Seok Chung, Chien-Liang Liu: A Static Estimation Technique of Power Sensitivity in Logic Circuits. DAC 2001: 215-219
21EENak-Woong Eum, Taewhan Kim, Chong-Min Kyung: A Router for Symmetrical FPGAs Based on Exact Routing Density Evaluation. ICCAD 2001: 137-143
20EEChun-Gi Lyuh, Taewhan Kim, Chien-Liang Liu: An Integrated Data Path Optimization for Low Power Based on Network Flow Method. ICCAD 2001: 553-559
19EEJunhyung Um, Taewhan Kim: An Optimal Allocation of Carry-Save-Adders in Arithmetic Circuits. IEEE Trans. Computers 50(3): 215-233 (2001)
18EEKi-Seok Chung, Taewhan Kim, C. L. Liu: G-vector: A New Model for Glitch Analysis in Logic Circuits. VLSI Signal Processing 27(3): 235-251 (2001)
2000
17EEKi-Seok Chung, Taewhan Kim, Chien-Liang Liu: Behavioral-level partitioning for low power design in control-dominated application. ACM Great Lakes Symposium on VLSI 2000: 156-161
16EETaewhan Kim, Junhyung Um: A timing-driven synthesis of arithmetic circuits using carry-save-adders (short paper). ASP-DAC 2000: 313-316
15EEJunhyung Um, Taewhan Kim, C. L. Liu: A fine-grained arithmetic optimization technique for high-performance/low-power data path synthesis. DAC 2000: 98-103
14 Sungpack Hong, Taewhan Kim: Bus Optimization for Low-Power Data Path Synthesis Based on Network Flow Method. ICCAD 2000: 312-317
13 Gernot Koch, Taewhan Kim, Reiner Genevriere: A Methodology for Verifying Memory Access Protocols in Behavioral Synthesis. ICCAD 2000: 33-38
12EETaewhan Kim, Junhyung Um: A practical approach to the synthesis of arithmetic circuits usingcarry-save-adders. IEEE Trans. on CAD of Integrated Circuits and Systems 19(5): 615-624 (2000)
11EESungpack Hong, Taewhan Kim, Unni Narayanan, Ki-Seok Chung: Decomposition of Bus-Invert Coding for Low-Power I/O. Journal of Circuits, Systems, and Computers 10(1-2): 101-112 (2000)
10EEYoungtae Kim, Taewhan Kim: An Accurate Exploration of Timing and Area Trade-Offs in Arithmetic Optimization Using Carry-Save-Adders. Journal of Circuits, Systems, and Computers 10(5-6): 279-292 (2000)
1999
9EEJunhyung Um, Taewhan Kim, C. L. Liu: Optimal allocation of carry-save-adders in arithmetic optimization. ICCAD 1999: 410-413
1998
8EETaewhan Kim, William Jao, Steven W. K. Tjiang: Arithmetic Optimization Using Carry-Save-Adders. DAC 1998: 433-438
7EETaewhan Kim, William Jao, Steven W. K. Tjiang: Circuit optimization using carry-save-adder cells. IEEE Trans. on CAD of Integrated Circuits and Systems 17(10): 974-984 (1998)
6EEChaeryung Park, Taewhan Kim, C. L. Liu: Register Allocation - A Hierarchical Reduction Approach. VLSI Signal Processing 19(3): 269-285 (1998)
1996
5EETaewhan Kim, C. L. Liu: An integrated algorithm for incremental data path synthesis. VLSI Signal Processing 12(3): 265-285 (1996)
1994
4 Taewhan Kim, Ki-Seok Chung, Chien-Liang Liu: A Stepwise Refinement Data Path Synthesis Procedure for Easy Testability. EDAC-ETC-EUROASIC 1994: 586-590
3EETaewhan Kim, Noritake Yonezawa, Jane W.-S. Liu, C. L. Liu: A scheduling algorithm for conditional resource sharing-a hierarchical reduction approach. IEEE Trans. on CAD of Integrated Circuits and Systems 13(4): 425-438 (1994)
1993
2EETaewhan Kim, C. L. Liu: Utilization of Multiport Memories in Data Path Synthesis. DAC 1993: 298-302
1991
1 Taewhan Kim, Jane W.-S. Liu, C. L. Liu: A Scheduling Algorithm for Conditional Resource Sharing. ICCAD 1991: 84-87

Coauthor Index

1Meeyoung Cha [54]
2Naehyuck Chang [61] [72]
3Eunjoo Choi [81]
4Kiyoung Choi [80]
5Kyu-Myung Choi [68]
6Yongseok Choi [61] [72]
7Yoonseo Choi [26] [30] [33] [37] [40] [47] [55] [58] [65]
8Ki-Seok Chung [4] [11] [17] [18] [22] [25] [27] [31] [52]
9Nikil D. Dutt (Nikil Dutt) [60]
10Soo-Kwan Eo [68]
11Nak-Woong Eum [21] [24] [51]
12Krisztián Flautner [71] [80]
13Reiner Genevriere [13]
14Rajesh K. Gupta (Rajesh Gupta) [25]
15Soonhoi Ha [80]
16Hwansoo Han [58]
17Seongsoo Hong [71]
18Sungpack Hong [11] [14] [39] [68]
19TingTing Hwang [29]
20William Jao [7] [8]
21Deog-Kyoon Jeong [83]
22Jongyoon Jung [76]
23Seong-Ook Jung [43] [45]
24S.-M. S. Kang [43]
25Sung-Mo Kang [28] [29] [45]
26Jae-hoon Kim [34]
27Jungeun Kim [62] [73]
28Ki-Wook Kim [28] [29] [35] [43] [45] [49]
29YongHwan Kim [77]
30Young-Jun Kim [63] [70]
31Young-Taek Kim [68]
32Youngtae Kim [10] [23]
33Gernot Koch [13]
34Jeong-Taek Kong [68]
35Woo-Cheol Kwon [48] [59] [68]
36Chong-Min Kyung [21] [24] [51]
37ByungHyun Lee [84]
38Joonwon Lee [66]
39Yongho Lee [74] [83]
40Zhenmin Li [75]
41Kyoung-Hwan Lim [77]
42Pilok Lim [69]
43C. L. Liu (Chung Laung (Dave) Liu) [1] [2] [3] [5] [6] [9] [15] [18] [25] [27] [28] [29] [43]
44Chien-Liang Liu [4] [17] [20] [22]
45Jane W.-S. Liu [1] [3]
46Steven S. Lumetta (Steven Lumetta) [78]
47Chun-Gi Lyuh [20] [35] [44] [49] [53] [54]
48Sang Lyul Min [80]
49Unni Narayanan [11] [31]
50Nacho Navarro [78]
51Preeti Ranjan Panda [36] [42]
52Chaeryung Park [6]
53Yesin Ryu [82]
54Pascal Sainrat [78]
55Prashant Saxena [43]
56Benjamin Carrión Schäfer [74] [79]
57Jaewon Seo [32] [36] [42] [52] [60] [66]
58Changsik Shin [81]
59Keoncheol Shin [50] [56] [57]
60Youngsoo Shin [81]
61Steven W. K. Tjiang [7] [8]
62Junhyung Um [9] [12] [15] [16] [19] [34] [38] [41] [46] [64] [68]
63Wayne Wolf [71]
64Wang Yi [80]
65Noritake Yonezawa [3]

Colors in the list of coauthors

Copyright © Sun May 17 03:24:02 2009 by Michael Ley (ley@uni-trier.de)