dblp.uni-trier.dewww.uni-trier.de

Ali Afzali-Kusha

List of publications from the DBLP Bibliography Server - FAQ
Coauthor Index - Ask others: ACM DL/Guide - CiteSeer - CSB - Google - MSN - Yahoo

2009
54EEAmir-Mohammad Rahmani, Masoud Daneshtalab, Ali Afzali-Kusha, Saeed Safari, Massoud Pedram: Forecasting-Based Dynamic Virtual Channels Allocation for Power Optimization of Network-on-Chips. VLSI Design 2009: 151-156
53EEAmir-Mohammad Rahmani, I. Kamali, Pejman Lotfi-Kamran, Ali Afzali-Kusha, Saeed Safari: Negative Exponential Distribution Traffic Pattern for Power/Performance Analysis of Network on Chips. VLSI Design 2009: 157-162
52EEBardia Bozorgzadeh, Ali Afzali-Kusha: Novel MOS Decoupling Capacitor Optimization Technique for Nanotechnologies. VLSI Design 2009: 175-180
2008
51EEBehzad Ebrahimi, Saeed Zeinolabedinzadeh, Ali Afzali-Kusha: Low Standby Power and Robust FinFET Based SRAM Design. ISVLSI 2008: 185-190
50EEPejman Lotfi-Kamran, Amir-Mohammad Rahmani, Ali-Asghar Salehpour, Ali Afzali-Kusha, Zainalabedin Navabi: Stall Power Reduction in Pipelined Architecture Processors. VLSI Design 2008: 541-546
49EEAli Abbasian, Safar Hatami, Ali Afzali-Kusha, Massoud Pedram: Wavelet-based dynamic power management for nonstationary service requests. ACM Trans. Design Autom. Electr. Syst. 13(1): (2008)
2007
48EEMostafa Savadi Oskooei, Ali Afzali-Kusha, Seyed Mojtaba Atarodi: A High-Speed and Low-Power Voltage Controlled Oscillator in 0.18-µm CMOS Process. ISCAS 2007: 933-936
47EEMohammad Azim Karami, Ali Afzali-Kusha, Reza Faraji-Dana, Masoud Rostami: Quantitative Comparison of Optical and Electrical H, X, and Y clock Distribution Networks. ISVLSI 2007: 488-489
46EEVahid Moalemi, Ali Afzali-Kusha: Subthreshold Pass Transistor Logic for Ultra-Low Power Operation. ISVLSI 2007: 490-491
45EEVahid Moalemi, Ali Afzali-Kusha: Subthreshold 1-Bit Full Adder Cells in sub-100 nm Technologies. ISVLSI 2007: 514-515
44EEMasoud Daneshtalab, A. Pedram, Mohammad Hossein Neishaburi, M. Riazati, Ali Afzali-Kusha, Simak Mohammadi: Distributing Congestions in NoCs through a Dynamic Routing Algorithm based on Input and Output Selections. VLSI Design 2007: 546-550
43EEShervin Sharifi, Javid Jaffari, Mohammad Hosseinabady, Ali Afzali-Kusha, Zainalabedin Navabi: Simultaneous Reduction of Dynamic and Static Power in Scan Structures CoRR abs/0710.4653: (2007)
42EEA. Amirabadi, Ali Afzali-Kusha, Y. Mortazavi, Mehrdad Nourani: Clock Delayed Domino Logic With Efficient Variable Threshold Voltage Keeper. IEEE Trans. VLSI Syst. 15(2): 125-134 (2007)
2006
41EEMahdi Nazm Bojnordi, Nariman Moezzi Madani, Mehdi Semsarzadeh, Ali Afzali-Kusha: An Efficient Clocking Scheme for On-Chip Communications. APCCAS 2006: 119-122
40EEFatemeh Aezinia, S. Najafzadeh, Ali Afzali-Kusha: Novel High Speed and Low Power Single and Double Edge-Triggered Flip-Flops. APCCAS 2006: 1383-1386
39EENima Honarmand, Ali Afzali-Kusha: Low Power Combinational Multipliers using Data-driven Signal Gating. APCCAS 2006: 1430-1433
38EEFatemeh Aezinia, Ali Afzali-Kusha, Caro Lucas: Optimizing High Speed Flip-Flop Using Genetic Algorithm. APCCAS 2006: 1787-1790
37EEMasoud Daneshtalab, Ashkan Sobhani, Ali Afzali-Kusha, Omid Fatemi, Zainalabedin Navabi: NoC Hot Spot minimization Using AntNet Dynamic Routing Algorithm. ASAP 2006: 33-38
36EES. H. Rasouli, A. Amirabadi, A. Seyedi, Ali Afzali-Kusha: Double edge triggered Feedback Flip-Flop in sub 100NM technology. ASP-DAC 2006: 297-302
35EEMehrdad Najibi, M. Salehi, Ali Afzali-Kusha, Massoud Pedram, Seid Mehdi Fakhraie, Hossein Pedram: Dynamic voltage and frequency management based on variable update intervals for frequency setting. ICCAD 2006: 755-760
34EESaeid Mehrmanesh, B. Eghbalkhah, Saeed Saeedi, Ali Afzali-Kusha, Seyed Mojtaba Atarodi: A compact low power mixed-signal equalizer for gigabit Ethernet applications. ISCAS 2006
33EEHadi Parandeh-Afshar, Ali Afzali-Kusha, Ali Khakifirooz: A very high performance address BUS encoder. ISCAS 2006
32EEB. Kheradmand-Boroujeni, Fatemeh Aezinia, Ali Afzali-Kusha: High performance circuit techniques for dynamic OR gates. ISCAS 2006
31EEA. Amirabadi, A. Chehelcheraghi, S. H. Rasouli, A. Seyedi, Ali Afzali-Kusha: Low power and high performance clock delayed domino logic using saturated keeper. ISCAS 2006
30EEA. S. Seyedi, S. H. Rasouli, A. Amirabadi, Ali Afzali-Kusha: Low power low leakage clock gated static pulsed flip-flop. ISCAS 2006
29EEM. Saneei, Ali Afzali-Kusha, Zainalabedin Navabi: Low-power and low-latency cluster topology for local traffic NoCs. ISCAS 2006
28EEM. Riazati, Ashkan Sobhani, M. Mottaghi-Dastjerdi, Ali Afzali-Kusha, Ali Khakifirooz: Low-power multiplier with static decision for input manipulation. ISCAS 2006
27EENima Honarmand, M. Reza Javaheri, Naser Sedaghati-Mokhtari, Ali Afzali-Kusha: Power efficient sequential multiplication using pre-computation. ISCAS 2006
26EEG. Razavipour, A. Motamedi, Ali Afzali-Kusha: WL-VC SRAM: a low leakage memory circuit for deep sub-micron design. ISCAS 2006
25EEA. S. Seyedi, S. H. Rasouli, A. Amirabadi, Ali Afzali-Kusha: Clock Gated Static Pulsed Flip-Flop (CGSPFF) in Sub 100 nm Technology. ISVLSI 2006: 373-377
24EEMasood Dehyadgari, Mohsen Nickray, Ali Afzali-Kusha, Zainalabedin Navabi: A New Protocol Stack Model for Network on Chip. ISVLSI 2006: 440-441
23EEMohammad D. Mottaghi, Ali Afzali-Kusha, Zainalabedin Navabi: ByZFAD: a low switching activity architecture for shift-and-add multipliers. SBCCI 2006: 179-183
22EEMasoud Daneshtalab, Ali Afzali-Kusha, Ashkan Sobhani, Zainalabedin Navabi, Mohammad D. Mottaghi, Omid Fatemi: Ant colony based routing architecture for minimizing hot spots in NOCs. SBCCI 2006: 56-61
21EEShervin Sharifi, Javid Jaffari, Mohammad Hosseinabady, Ali Afzali-Kusha, Zainalabedin Navabi: Scan-Based Structure with Reduced Static and Dynamic Power Consumption. J. Low Power Electronics 2(3): 477-487 (2006)
2005
20EEM. Saneei, Ali Afzali-Kusha, Zainalabedin Navabi: Sign bit reduction encoding for low power applications. DAC 2005: 214-217
19EEShervin Sharifi, Javid Jaffari, Mohammad Hosseinabady, Ali Afzali-Kusha, Zainalabedin Navabi: Simultaneous Reduction of Dynamic and Static Power in Scan Structures. DATE 2005: 846-851
18EEBehnam Amelifard, Ali Afzali-Kusha, Ahmad Khademzadeh: Enhancing the efficiency of cluster voltage scaling technique for low-power application. ISCAS (2) 2005: 1666-1669
17EEA. Amirabadi, Y. Mortazavi, Nariman Moezzi Madani, Ali Afzali-Kusha, Mehrdad Nourani: Domino logic with an efficient variable threshold voltage keeper. ISCAS (2) 2005: 1674-1677
16EEMorteza Gholipour, Hamid Shojaee, Ali Afzali-Kusha, Ahmad Khademzadeh, Mehrdad Nourani: An efficient model for performance analysis of asynchronous pipeline design methods. ISCAS (5) 2005: 5234-5237
15EEMohammad Alisafaee, Safar Hatami, Ehsan Atoofian, Zainalabedin Navabi, Ali Afzali-Kusha: A low-power scan-path architecture. ISCAS (5) 2005: 5278-5281
14EEB. Afkal, Ali Afzali-Kusha, Mahmoud El Nokali: Efficient power model for crossbar interconnects. ISCAS (6) 2005: 5858-5861
13EEMohammad Taherzadeh-Sani, Ali Abbasian, Behnam Amelifard, Ali Afzali-Kusha: Modeling of MOS transistors based on genetic algorithm and simulated annealing. ISCAS (6) 2005: 6218-6221
12EEDavood Shahrjerdi, Bahman Hekmatshoar, Ali Khaki-Firooz, Ali Afzali-Kusha: Optimization of the VT control method for low-power ultra-thin double-gate SOI logic circuits. Integration 38(3): 505-513 (2005)
2004
11EEA. Amirabadi, Javid Jaffari, Ali Afzali-Kusha, Mehrdad Nourani, Ali Khaki-Firooz: Leakage current reduction by new technique in standby mode. ACM Great Lakes Symposium on VLSI 2004: 158-161
10 Mohammad H. Tehranipour, Mehrdad Nourani, Karim Arabi, Ali Afzali-Kusha: Mixed RL-Huffman encoding for power reduction and data compression in scan test. ISCAS (2) 2004: 681-684
9 Ali Abbasian, Safar Hatami, Ali Afzali-Kusha, Mehrdad Nourani, Caro Lucas: Event-driven dynamic power management based on wavelet forecasting theory. ISCAS (5) 2004: 325-328
8EER. Dehghani, Seyed Mojtaba Atarodi, B. Bornoosh, Ali Afzali-Kusha: A Reduced Complexity 3rd Order Digital Delta-Sigma Modulator for Fractional-N Frequency Synthesis. VLSI Design 2004: 615-618
7EESafar Hatami, M. Yaser Azizi, Hamid-Reza Bahrami, Davoud Motavalizadeh-Naeini, Ali Afzali-Kusha: Accurate and efficient modeling of SOI MOSFET with technology independent neural networks. IEEE Trans. on CAD of Integrated Circuits and Systems 23(11): 1580-1587 (2004)
2003
6EEMohammad Yavari, Omid Shoaei, Ali Afzali-Kusha: A very low-voltage, low-power and high resolution sigma-delta modulator for digital audio in 0.25µm CMOS. ISCAS (1) 2003: 1045-1048
5EEAli Abbasian, S. H. Rasouli, Ali Afzali-Kusha, Mehrdad Nourani: No-race charge recycling complementary pass transistor logic (NCRCPL) for low power applications. ISCAS (5) 2003: 289-292
4EEMohammad H. Tehranipour, Mehrdad Nourani, Seid Mehdi Fakhraie, Ali Afzali-Kusha: Systematic test program generation for SoC testing using embedded processor. ISCAS (5) 2003: 541-544
3EEBahman Javadi, Mohsen Naderi, Hossein Pedram, Ali Afzali-Kusha, Mohammad K. Akbari: An Asynchronous Viterbi Decoder for Low-Power Applications. PATMOS 2003: 471-480
2002
2EEM. Maddah, Ali Afzali-Kusha, Hamid Soltanian-Zadeha: Fast center-line extraction for quantification of vessels in confocal microscopy images. ISBI 2002: 461-464
2001
1EEHamid Mahmoodi-Meimand, Ali Afzali-Kusha: Efficient power clock generation for adiabatic logic. ISCAS (4) 2001: 642-645

Coauthor Index

1Ali Abbasian [5] [9] [13] [49]
2Fatemeh Aezinia [32] [38] [40]
3B. Afkal [14]
4Mohammad K. Akbari [3]
5Mohammad Alisafaee [15]
6Behnam Amelifard [13] [18]
7A. Amirabadi [11] [17] [25] [30] [31] [36] [42]
8Karim Arabi [10]
9Seyed Mojtaba Atarodi [8] [34] [48]
10Ehsan Atoofian [15]
11M. Yaser Azizi [7]
12Hamid-Reza Bahrami [7]
13Mahdi Nazm Bojnordi [41]
14B. Bornoosh [8]
15Bardia Bozorgzadeh [52]
16A. Chehelcheraghi [31]
17Masoud Daneshtalab [22] [37] [44] [54]
18R. Dehghani [8]
19Masood Dehyadgari [24]
20Behzad Ebrahimi [51]
21B. Eghbalkhah [34]
22Seid Mehdi Fakhraie [4] [35]
23Reza Faraji-Dana [47]
24Omid Fatemi [22] [37]
25Morteza Gholipour [16]
26Safar Hatami [7] [9] [15] [49]
27Bahman Hekmatshoar [12]
28Nima Honarmand [27] [39]
29Mohammad Hosseinabady [19] [21] [43]
30Javid Jaffari [11] [19] [21] [43]
31Bahman Javadi [3]
32M. Reza Javaheri [27]
33I. Kamali [53]
34Mohammad Azim Karami [47]
35Ahmad Khademzadeh [16] [18]
36Ali Khaki-Firooz [11] [12]
37Ali Khakifirooz [28] [33]
38B. Kheradmand-Boroujeni [32]
39Pejman Lotfi-Kamran [50] [53]
40Caro Lucas [9] [38]
41Nariman Moezzi Madani [17] [41]
42M. Maddah [2]
43Hamid Mahmoodi (Hamid Mahmoodi-Meimand) [1]
44Saeid Mehrmanesh [34]
45Vahid Moalemi [45] [46]
46Simak Mohammadi [44]
47Y. Mortazavi [17] [42]
48A. Motamedi [26]
49Davoud Motavalizadeh-Naeini [7]
50Mohammad D. Mottaghi [22] [23]
51M. Mottaghi-Dastjerdi [28]
52Mohsen Naderi [3]
53S. Najafzadeh [40]
54Mehrdad Najibi [35]
55Zainalabedin Navabi [15] [19] [20] [21] [22] [23] [24] [29] [37] [43] [50]
56Mohammad Hossein Neishaburi [44]
57Mohsen Nickray [24]
58Mahmoud El Nokali [14]
59Mehrdad Nourani [4] [5] [9] [10] [11] [16] [17] [42]
60Mostafa Savadi Oskooei [48]
61Hadi Parandeh-Afshar [33]
62A. Pedram [44]
63Hossein Pedram [3] [35]
64Massoud Pedram [35] [49] [54]
65Amir-Mohammad Rahmani [50] [53] [54]
66S. H. Rasouli [5] [25] [30] [31] [36]
67G. Razavipour [26]
68M. Riazati [28] [44]
69Masoud Rostami [47]
70Saeed Saeedi [34]
71Saeed Safari [53] [54]
72M. Salehi [35]
73Ali-Asghar Salehpour [50]
74M. Saneei [20] [29]
75Naser Sedaghati-Mokhtari [27]
76Mehdi Semsarzadeh [41]
77A. Seyedi [31] [36]
78A. S. Seyedi [25] [30]
79Davood Shahrjerdi [12]
80Shervin Sharifi [19] [21] [43]
81Omid Shoaei [6]
82Hamid Shojaee [16]
83Ashkan Sobhani [22] [28] [37]
84Hamid Soltanian-Zadeha [2]
85Mohammad Taherzadeh-Sani [13]
86Mohammad H. Tehranipour [4] [10]
87Mohammad Yavari [6]
88Saeed Zeinolabedinzadeh [51]

Colors in the list of coauthors

Copyright © Sun May 17 03:24:02 2009 by Michael Ley (ley@uni-trier.de)