dblp.uni-trier.dewww.uni-trier.de

Jan M. Rabaey

List of publications from the DBLP Bibliography Server - FAQ
Coauthor Index - Ask others: ACM DL/Guide - CiteSeer - CSB - Google - MSN - Yahoo
Home Page

2009
106EEAnimesh Kumar, Jan M. Rabaey, Kannan Ramchandran: SRAM supply voltage scaling: A reliability perspective. ISQED 2009: 782-787
2008
105EEJan M. Rabaey: A brand new wireless day. ASP-DAC 2008: 1
104EEYuen-Hui Chee, Mike Koplow, Michael Mark, Nathan Pletcher, Mike Seeman, Fred Burghardt, Dan Steingart, Jan M. Rabaey, Paul K. Wright, Seth Sanders: PicoCube: a 1 cm3 sensor node powered by harvested energy. DAC 2008: 114-119
103EEJuan C. Rey, Andreas Kuehlmann, Jan M. Rabaey, Cormac Conroy, Ted Vucurevich, Ikuya Kawasaki, Tuna B. Tarim: Next generation wireless-multimedia devices: who is up for the challenge? DAC 2008: 353-354
102EERob Aitken, Jerry Bautista, Wojciech Maly, Jan M. Rabaey: More Moore: foolish, feasible, or fundamentally different? ICCAD 2008: 9
101EEHuifang Qin, Animesh Kumar, Kannan Ramchandran, Jan M. Rabaey, Prakash Ishwar: Error-Tolerant SRAM Design for Ultra-Low Power Standby Operation. ISQED 2008: 30-34
100EEAnimesh Kumar, Huifang Qin, Prakash Ishwar, Jan M. Rabaey, Kannan Ramchandran: Fundamental Data Retention Limits in SRAM Standby Experimental Results. ISQED 2008: 92-97
99EEYanmei Li, Jan M. Rabaey, Alberto L. Sangiovanni-Vincentelli: Analysis of Interference Effects in MB-OFDM UWB Systems. WCNC 2008: 165-170
2007
98EEJan M. Rabaey: Design without Borders - A Tribute to the Legacy of A. Richard Newton. DAC 2007
97EEJan M. Rabaey: Design Without Borders. DSD 2007: 3
96EEJan M. Rabaey, Yuen-Hui Chee, David Chen, Luca De Nardis, Simone Gambini, Davide Guermandi, Michael Mark, Nathan Pletcher: Short Distance Wireless, Dense Networks, and Their Opportunities. DSD 2007: 7
95EEAnimesh Kumar, Huifang Qin, Prakash Ishwar, Jan M. Rabaey, Kannan Ramchandran: Fundamental Bounds on Power Reduction during Data-Retention in Standby SRAM. ISCAS 2007: 1867-1870
94EEZhenyu Qi, Matthew M. Ziegler, Stephen V. Kosonocky, Jan M. Rabaey, Mircea R. Stan: Multi-Dimensional Circuit and Micro-Architecture Level Optimization. ISQED 2007: 275-280
2006
93EERadu Marculescu, Jan M. Rabaey, Alberto L. Sangiovanni-Vincentelli: Is "Network" the next "Big Idea" in design? DATE 2006: 254-256
92EEDragan Petrovic, Kannan Ramchandran, Jan M. Rabaey: Overcoming untuned radios in wireless networks with network coding. IEEE Transactions on Information Theory 52(6): 2649-2657 (2006)
91EEHuifang Qin, Rakesh Vattikonda, Thuan Trinh, Yu Cao, Jan M. Rabaey: SRAM Cell Optimization for Ultra-Low Power Standby. J. Low Power Electronics 2(3): 401-411 (2006)
90EEKonstantinos Sarrigeorgidis, Jan M. Rabaey: A Scalable Configurable Architecture for Advanced Wireless Communication Algorithms. VLSI Signal Processing 45(3): 127-151 (2006)
2005
89EEJason Cong, Tony Ma, Ivo Bolsens, Phil Moorby, Jan M. Rabaey, John Sanguinetti, Kazutoshi Wakabayashi, Yoshi Watanabe: Are we ready for system-level synthesis? ASP-DAC 2005
88EEJan M. Rabaey: Design at the end of the silicon roadmap. ASP-DAC 2005
87EEFrancine Bacchini, Jan M. Rabaey, Allan Cox, Frank Lane, Rudy Lauwereins, Ulrich Ramacher, David Witt: Wireless platforms: GOPS for cents and MilliWatts. DAC 2005: 351-352
86EEPaul Friedberg, Yu Cao, Jason Cain, Ruth Wang, Jan M. Rabaey, Costas J. Spanos: Modeling Within-Die Spatial Correlation Effects for Process-Design Co-Optimization. ISQED 2005: 516-521
85EEJan M. Rabaey: Traveling the Wild Frontier of Ultra Low-Power Design. PATMOS 2005: 747
84EERahul C. Shah, Sven Wiethölter, Adam Wolisz, Jan M. Rabaey: When Does Opportunistic Routing Make Sense? PerCom Workshops 2005: 350-356
83EERahul C. Shah, Sven Wiethölter, Adam Wolisz, Jan M. Rabaey: Modeling and Analysis of Opportunistic Routing in Low Traffic Scenarios. WiOpt 2005: 294-304
82EEHuifang Qin, Yu Cao, Dejan Markovic, Andrei Vladimirescu, Jan M. Rabaey: Standby supply voltage minimization for deep sub-micron SRAM. Microelectronics Journal 36(9): 789-800 (2005)
2004
81EEShad Roundy, Dan Steingart, Luc Frechette, Paul K. Wright, Jan M. Rabaey: Power Sources for Wireless Sensor Networks. EWSN 2004: 1-17
80 Yuen-Hui Chee, Jan M. Rabaey, Ali M. Niknejad: A class A/B low power amplifier for wireless sensor networks. ISCAS (4) 2004: 409-412
79EEHuifang Qin, Yu Cao, Dejan Markovic, Andrei Vladimirescu, Jan M. Rabaey: SRAM Leakage Suppression by Minimizing Standby Supply Voltage. ISQED 2004: 55-60
78EETufan C. Karalar, Shunzo Yamashita, Michael Sheets, Jan M. Rabaey: An Integrated, Low Power Localization System for Sensor Networks. MobiQuitous 2004: 24-30
77EEJohnathan M. Reason, Jan M. Rabaey: A study of energy consumption and reliability in a multi-hop sensor network. Mobile Computing and Communications Review 8(1): 84-97 (2004)
76EEKonstantinos Sarrigeorgidis, Jan M. Rabaey: Ultra Low Power CORDIC Processor for Wireless Communication Algorithms. VLSI Signal Processing 38(2): 115-130 (2004)
2003
75EEJan M. Rabaey, Dennis Sylvester, David Blaauw, Kerry Bernstein, Jerry Frenkil, Mark Horowitz, Wolfgang Nebel, Takayasu Sakurai, Andrew Yang: Reshaping EDA for power. DAC 2003: 15
74EEM. Josie Ammer, Michael Sheets, Tufan C. Karalar, Mika Kuulusa, Jan M. Rabaey: A low-energy chip-set for wireless intercom. DAC 2003: 916-919
73EEKonstantinos Sarrigeorgidis, Jan M. Rabaey: Massively Parallel Wireless Reconfigurable Processor Architecture and Programming. IPDPS 2003: 170
72EEJana van Greunen, Jan M. Rabaey: Lightweight time synchronization for sensor networks. Wireless Sensor Networks and Applications 2003: 11-19
71EEShad Roundy, Paul K. Wright, Jan M. Rabaey: A study of low level vibrations as a power source for wireless sensor nodes. Computer Communications 26(11): 1131-1144 (2003)
2002
70EEJan M. Rabaey, Joachim Kunkel, Dennis Brophy, Raul Camposano, Davoud Samani, Larry Lerner, Rick Hetherington: What's the next EDA driver? DAC 2002: 652
69EEChris Savarese, Jan M. Rabaey, Koen Langendoen: Robust Positioning Algorithms for Distributed Ad-Hoc Wireless Sensor Networks. USENIX Annual Technical Conference, General Track 2002: 317-327
2001
68EEMarco Sgroi, Michael Sheets, Andrew Mihal, Kurt Keutzer, Sharad Malik, Jan M. Rabaey, Alberto L. Sangiovanni-Vincentelli: Addressing the System-on-a-Chip Interconnect Woes Through Communication-Based Design. DAC 2001: 667-672
67EEJulio Leao da Silva Jr., J. Shamberger, M. Josie Ammer, C. Guo, Suet-Fei Li, Rahul C. Shah, Tim Tuan, Michael Sheets, Jan M. Rabaey, Borivoje Nikolic, Alberto L. Sangiovanni-Vincentelli, Paul K. Wright: Design methodology for PicoRadio networks. DATE 2001: 314-325
66EEJan M. Rabaey: Wireless beyond the third generation wireless beyond the third generation: facing the energy challenge. ISLPED 2001: 1-3
65EEMarlene Wan, Hui Zhang, George Varghese, Martin Benes, Arthur Abnous, Vandana Prabhu, Jan M. Rabaey: Design Methodology of a Low-Energy Reconfigurable Single-Chip DSP System. VLSI Signal Processing 28(1-2): 47-61 (2001)
2000
64EEJan M. Rabaey: Low-power silicon architecture for wireless communications: embedded tutorial. ASP-DAC 2000: 377-380
63EENaji Ghazal, A. Richard Newton, Jan M. Rabaey: Retargetable estimation scheme for DSP architecture selection. ASP-DAC 2000: 485-490
62EEJulio Leao da Silva Jr., Marco Sgroi, Fernando De Bernardinis, Suet-Fei Li, Alberto L. Sangiovanni-Vincentelli, Jan M. Rabaey: Wireless protocols design: challenges and opportunities. CODES 2000: 147-151
61EENaji Ghazal, A. Richard Newton, Jan M. Rabaey: Predicting performance potential of modern DSPs. DAC 2000: 332-335
60EEJan M. Rabaey: Silicon Platforms for the Next Generation Wireless Systems - What Role Does Reconfigurable Hardware Play? FPL 2000: 277-285
59 Jan M. Rabaey, Miodrag Potkonjak, Farinaz Koushanfar, Suet-Fei Li, Tim Tuan: Challenges and Opportunities in Broadband and Wireless Communication Designs. ICCAD 2000: 76-82
58EEFarinaz Koushanfar, Miodrag Potkonjak, Vandana Prabhu, Jan M. Rabaey: Processors for Mobile Applications. ICCD 2000: 603-608
57EEJason M. Musicer, Jan M. Rabaey: MOS current mode logic for low power, low noise CORDIC computation in mixed-signal environments. ISLPED 2000: 102-107
56EEJan M. Rabaey, M. Josie Ammer, Julio Leao da Silva Jr., Danny Patel, Shad Roundy: PicoRadio Supports Ad Hoc Ultra-Low Power Wireless Networking. IEEE Computer 33(7): 42-48 (2000)
55EEHui Zhang, George Varghese, Jan M. Rabaey: Low-swing on-chip signaling techniques: effectiveness and robustness. IEEE Trans. VLSI Syst. 8(3): 264-272 (2000)
54EEMiodrag Potkonjak, Jan M. Rabaey: Maximally and arbitrarily fast implementation of linear andfeedback linear computations. IEEE Trans. on CAD of Integrated Circuits and Systems 19(1): 30-43 (2000)
53EEKurt Keutzer, A. Richard Newton, Jan M. Rabaey, Alberto L. Sangiovanni-Vincentelli: System-level design: orthogonalization of concerns andplatform-based design. IEEE Trans. on CAD of Integrated Circuits and Systems 19(12): 1523-1543 (2000)
1999
52EEGeorge Varghese, Hui Zhang, Jan M. Rabaey: The design of a low energy FPGA. ISLPED 1999: 188-193
51EEMiodrag Potkonjak, Jan M. Rabaey: Algorithm selection: a quantitative optimization-intensive approach. IEEE Trans. on CAD of Integrated Circuits and Systems 18(5): 524-532 (1999)
1998
50EELisa M. Guerra, Miodrag Potkonjak, Jan M. Rabaey: A Methodology for Guided Behavioral-Level Optimization. DAC 1998: 309-314
49EERoy A. Sutton, Vason P. Srini, Jan M. Rabaey: A Multiprocessor DSP System Using PADDI-2. DAC 1998: 62-65
48EEJan M. Rabaey, Marlene Wan: An Energy-Conscious Exploration Methodology for Reconfigurable DSPs. DATE 1998: 341-342
47 Arthur Abnous, Katsunori Seno, Yuji Ichikawa, Marlene Wan, Jan M. Rabaey: Evaluation of a Low-Power Reconfigurable DSP Architecture. IPPS/SPDP Workshops 1998: 55-60
46EEEric Kusse, Jan M. Rabaey: Low-energy embedded FPGA structures. ISLPED 1998: 155-160
45EEHui Zhang, Jan M. Rabaey: Low-swing interconnect interface circuits. ISLPED 1998: 161-166
44 Jan M. Rabaey: Invited Address: Hybrid Reconfigurable Processors - The Road to Low-Power Consumption. VLSI Design 1998: 300-303
43EELisa M. Guerra, Miodrag Potkonjak, Jan M. Rabaey: Behavioral-level synthesis of heterogeneous BISR reconfigurable ASIC's. IEEE Trans. VLSI Syst. 6(1): 158-167 (1998)
42EEDavid Lidsky, Jan M. Rabaey: The Conceptual-Level Design Approach to Complex Systems. VLSI Signal Processing 18(1): 11-24 (1998)
1997
41EEOle Bentz, Jan M. Rabaey, David Lidsky: A Dynamic Design Estimation and Exploration Environment. DAC 1997: 190-195
40EEJan M. Rabaey: System-level power estimation and optimization - challenges and perspectives. ISLPED 1997: 158-160
1996
39 José L. Encarnação, Jan M. Rabaey: Mobile Communications: Technology, Tools Applications, Authentication and Security Chapman & Hall 1996
38 Mark Horowitz, Jan M. Rabaey, Brock Barton, Massoud Pedram: Proceedings of the 1996 International Symposium on Low Power Electronics and Design, 1996, Monterey, California, USA, August 12-14, 1996 IEEE 1996
37EEDavid Lidsky, Jan M. Rabaey: Early Power Exploration - A World Wide Web Application. DAC 1996: 27-32
36EERenu Mehra, Jan M. Rabaey: Exploiting regularity for low-power design. ICCAD 1996: 166-172
35 My T. Le, Jan M. Rabaey: A Global QoS Management for Wireless Network. IFIP World Conference on Mobile Communications 1996: 205-218
34EEJames Burr, Laszlo Gal, Ramsey W. Haddad, Jan M. Rabaey, Bruce Wooley: Which has greater potential power impact: high-level design and algorithms or innovative low power technology? (panel). ISLPED 1996: 175
33EEPaul E. Landman, Renu Mehra, Jan M. Rabaey: An Integrated CAD Environment for Low-Power Design. IEEE Design & Test of Computers 13(2): 72-82 (1996)
32EEPaul E. Landman, Jan M. Rabaey: Activity-sensitive architectural power analysis. IEEE Trans. on CAD of Integrated Circuits and Systems 15(6): 571-587 (1996)
31EEMiguel R. Corazao, Marwan A. Khalaf, Lisa M. Guerra, Miodrag Potkonjak, Jan M. Rabaey: Performance optimization using template mapping for datapath-intensive high-level synthesis. IEEE Trans. on CAD of Integrated Circuits and Systems 15(8): 877-888 (1996)
30EERenu Mehra, Lisa M. Guerra, Jan M. Rabaey: Low-power architectural synthesis and the impact of exploiting locality. VLSI Signal Processing 13(2-3): 239-258 (1996)
1995
29EEAllan Christian Long Jr., Shankar Narayanaswamy, Andrew J. Burstein, Richard Han, Ken Lutz, Brian C. Richards, Samuel Sheng, Robert W. Brodersen, Jan M. Rabaey: A prototype user interface for a mobile multimedia terminal. CHI 95 Conference Companion 1995: 81-82
28 My T. Le, Frederick L. Burghard, Srinivasan Seshan, Jan M. Rabaey: InfoNet: the Networking Infrastructure of InfoPad. COMPCON 1995: 163-168
27 Eric A. Brewer, Thomas D. Burd, Frederick L. Burghard, Andrew J. Burstein, Roger Doering, Ken Lutz, Shankar Narayanaswamy, Trevor Pering, Brian C. Richards, Thomas E. Truman, Randy H. Katz, Jan M. Rabaey, Robert W. Brodersen: Design of Wireless Portable Systems. COMPCON 1995: 169-176
26EEPaul E. Landman, Jan M. Rabaey: Activity-sensitive architectural power analysis for the control path. ISLPD 1995: 93-98
25EEPaul E. Landman, Jan M. Rabaey: Architectural power analysis: The dual bit type method. IEEE Trans. VLSI Syst. 3(2): 173-187 (1995)
24EEAnantha P. Chandrakasan, Miodrag Potkonjak, Renu Mehra, Jan M. Rabaey, Robert W. Brodersen: Optimizing power using transformations. IEEE Trans. on CAD of Integrated Circuits and Systems 14(1): 12-31 (1995)
23EEIngrid Verbauwhede, Jan M. Rabaey: Guest editor's introduction design environments for DSP. VLSI Signal Processing 9(1-2): 5-6 (1995)
22EEIngrid Verbauwhede, Jan M. Rabaey: Synthesis for real time systems: Solutions and challenges. VLSI Signal Processing 9(1-2): 67-88 (1995)
1994
21EEIngrid Verbauwhede, Chris J. Scheers, Jan M. Rabaey: Memory Estimation for High Level Synthesis. DAC 1994: 143-148
20 Shan-Hsi Huang, Jan M. Rabaey: Maximizing the Throughput of High Performance DSP Applications Using Behavioral Transformations. EDAC-ETC-EUROASIC 1994: 25-30
19EEMiodrag Potkonjak, Jan M. Rabaey: Algorithm selection: a quantitative computation-intensive optimization approach. ICCAD 1994: 90-95
18 Francky Catthoor, Ed F. Deprettere, Yu Hen Hu, Jan M. Rabaey, Heinrich Meyr, Lothar Thiele: Is it Possible to achieve a Teraflop/s on a chip? From High Performance Algorithms to Architectures. ISCAS 1994: 129-136
17EEMiodrag Potkonjak, Jan M. Rabaey: Optimizing resource utilization using transformations. IEEE Trans. on CAD of Integrated Circuits and Systems 13(3): 277-292 (1994)
16EEJan M. Rabaey, Miodrag Potkonjak: Estimating implementation bounds for real time DSP application specific circuits. IEEE Trans. on CAD of Integrated Circuits and Systems 13(6): 669-683 (1994)
15EEMiodrag Potkonjak, Jan M. Rabaey: Optimizing throughput and resource utilization using pipelining: Transformation based approach. VLSI Signal Processing 8(2): 117-130 (1994)
14EEPhu Hoang, Jan M. Rabaey: A CAD environment for Real-time DSP implementations on multiprocessors. VLSI Signal Processing 8(2): 131-150 (1994)
1993
13 Lisa M. Guerra, Miodrag Potkonjak, Jan M. Rabaey: High Level Synthesis Techniques for Efficient Built-In-Self Repair. DFT 1993: 41-48
12EELisa M. Guerra, Miodrag Potkonjak, Jan M. Rabaey: High level synthesis for reconfigurable datapath structures. ICCAD 1993: 26-29
11EEMiguel R. Corazao, Marwan A. Khalaf, Lisa M. Guerra, Miodrag Potkonjak, Jan M. Rabaey: Instruction set mapping for performance optimization. ICCAD 1993: 518-521
1992
10EEAnantha Chandrakasan, Miodrag Potkonjak, Jan M. Rabaey, Robert W. Brodersen: HYPER-LP: a system for power minimization using architectural transformations. ICCAD 1992: 300-303
9EEMiodrag Potkonjak, Jan M. Rabaey: Maximally fast and arbitrarily fast implementation of linear computations. ICCAD 1992: 304-308
1991
8 Miodrag Potkonjak, Jan M. Rabaey: Optimizing Resource Utilization Using Transformations. ICCAD 1991: 88-91
7EEJan M. Rabaey, C. Chu, Phu Hoang, Miodrag Potkonjak: Fast Prototyping of Datapath-Intensive Architectures. IEEE Design & Test of Computers 8(2): 40-51 (1991)
6EEC. Bernard Shung, Rajeev Jain, Ken Rimey, Edward Wang, Mani B. Srivastava, Brian C. Richards, Erik Lettang, Syed Khalid Azim, Lars E. Thon, Paul N. Hilfinger, Jan M. Rabaey, Robert W. Brodersen: An integrated CAD system for algorithm-specific IC design. IEEE Trans. on CAD of Integrated Circuits and Systems 10(4): 447-463 (1991)
1990
5EEJ. Zegers, Paul Six, Jan M. Rabaey, Hugo De Man: CGE: automatic generation of controllers in the CATHEDRAL-II silicon compiler. EURO-DAC 1990: 617-621
4EEGert Goossens, Jan M. Rabaey, Joos Vandewalle, Hugo De Man: An efficient microcode compiler for application specific DSP processors. IEEE Trans. on CAD of Integrated Circuits and Systems 9(9): 925-937 (1990)
1989
3EEM. Potkonjack, Jan M. Rabaey: A Scheduling and Resource Allocation Algorithm for Hierarchical Signal Flow Graphs. DAC 1989: 7-12
1986
2EEPaul Six, Luc J. M. Claesen, Jan M. Rabaey, Hugo De Man: An intelligent module generator environment. DAC 1986: 730-735
1985
1EEJan M. Rabaey, Stephen P. Pope, Robert W. Brodersen: An Integrated Automated Layout Generation System for DSP Circuits. IEEE Trans. on CAD of Integrated Circuits and Systems 4(3): 285-296 (1985)

Coauthor Index

1Arthur Abnous [47] [65]
2Rob Aitken [102]
3M. Josie Ammer [56] [67] [74]
4Syed Khalid Azim [6]
5Francine Bacchini [87]
6Brock Barton [38]
7Jerry Bautista [102]
8Martin Benes [65]
9Ole Bentz [41]
10Fernando De Bernardinis [62]
11Kerry Bernstein [75]
12David Blaauw (David T. Blaauw) [75]
13Ivo Bolsens [89]
14Eric A. Brewer [27]
15Robert W. Brodersen [1] [6] [10] [24] [27] [29]
16Dennis Brophy [70]
17Thomas D. Burd [27]
18Frederick L. Burghard [27] [28]
19Fred Burghardt [104]
20James Burr [34]
21Andrew J. Burstein [27] [29]
22Jason Cain [86]
23Raul Camposano [70]
24Yu Cao [79] [82] [86] [91]
25Francky Catthoor [18]
26Anantha Chandrakasan (Anantha P. Chandrakasan) [10] [24]
27Yuen-Hui Chee [80] [96] [104]
28David Chen [96]
29C. Chu [7]
30Luc J. M. Claesen [2]
31Jason Cong [89]
32Cormac Conroy [103]
33Miguel R. Corazao [11] [31]
34Allan Cox [87]
35Ed F. Deprettere [18]
36Roger Doering [27]
37José L. Encarnação [39]
38Luc Frechette [81]
39Jerry Frenkil [75]
40Paul Friedberg [86]
41Laszlo Gal [34]
42Simone Gambini [96]
43Naji Ghazal [61] [63]
44Gert Goossens [4]
45Jana van Greunen [72]
46Davide Guermandi [96]
47Lisa M. Guerra [11] [12] [13] [30] [31] [43] [50]
48C. Guo [67]
49Ramsey W. Haddad [34]
50Richard Han [29]
51Rick Hetherington [70]
52Paul N. Hilfinger [6]
53Phu Hoang [7] [14]
54Mark Horowitz [38] [75]
55Yu Hen Hu [18]
56Shan-Hsi Huang [20]
57Yuji Ichikawa [47]
58Prakash Ishwar [95] [100] [101]
59Rajeev Jain [6]
60Tufan C. Karalar [74] [78]
61Randy H. Katz [27]
62Ikuya Kawasaki [103]
63Kurt Keutzer [53] [68]
64Marwan A. Khalaf [11] [31]
65Mike Koplow [104]
66Stephen V. Kosonocky [94]
67Farinaz Koushanfar [58] [59]
68Andreas Kuehlmann [103]
69Animesh Kumar [95] [100] [101] [106]
70Joachim Kunkel [70]
71Eric Kusse [46]
72Mika Kuulusa [74]
73Paul E. Landman [25] [26] [32] [33]
74Frank Lane [87]
75Koen Langendoen [69]
76Rudy Lauwereins [87]
77My T. Le [28] [35]
78Larry Lerner [70]
79Erik Lettang [6]
80Suet-Fei Li [59] [62] [67]
81Yanmei Li [99]
82David Lidsky [37] [41] [42]
83Allan Christian Long Jr. [29]
84Ken Lutz [27] [29]
85Tony Ma [89]
86Sharad Malik [68]
87Wojciech Maly [102]
88Hugo De Man [2] [4] [5]
89Radu Marculescu [93]
90Michael Mark [96] [104]
91Dejan Markovic [79] [82]
92Renu Mehra [24] [30] [33] [36]
93Heinrich Meyr [18]
94Andrew Mihal [68]
95Phil Moorby [89]
96Jason M. Musicer [57]
97Shankar Narayanaswamy [27] [29]
98Luca De Nardis [96]
99Wolfgang Nebel [75]
100A. Richard Newton [53] [61] [63]
101Ali M. Niknejad [80]
102Borivoje Nikolic [67]
103Danny Patel [56]
104Massoud Pedram [38]
105Trevor Pering [27]
106Dragan Petrovic [92]
107Nathan Pletcher [96] [104]
108Stephen P. Pope [1]
109M. Potkonjack [3]
110Miodrag Potkonjak [7] [8] [9] [10] [11] [12] [13] [15] [16] [17] [19] [24] [31] [43] [50] [51] [54] [58] [59]
111Vandana Prabhu [58] [65]
112Zhenyu Qi [94]
113Huifang Qin [79] [82] [91] [95] [100] [101]
114Ulrich Ramacher [87]
115Kannan Ramchandran [92] [95] [100] [101] [106]
116Johnathan M. Reason [77]
117Juan C. Rey [103]
118Brian C. Richards [6] [27] [29]
119Ken Rimey [6]
120Shad Roundy [56] [71] [81]
121Takayasu Sakurai [75]
122Davoud Samani [70]
123Seth Sanders [104]
124Alberto L. Sangiovanni-Vincentelli [53] [62] [67] [68] [93] [99]
125John Sanguinetti [89]
126Konstantinos Sarrigeorgidis [73] [76] [90]
127Chris Savarese [69]
128Chris J. Scheers [21]
129Mike Seeman [104]
130Katsunori Seno [47]
131Srinivasan Seshan [28]
132Marco Sgroi [62] [68]
133Rahul C. Shah [67] [83] [84]
134J. Shamberger [67]
135Michael Sheets [67] [68] [74] [78]
136Samuel Sheng [29]
137C. Bernard Shung [6]
138Julio Leao da Silva Jr. [56] [62] [67]
139Paul Six [2] [5]
140Costas J. Spanos [86]
141Vason P. Srini [49]
142Mani B. Srivastava [6]
143Mircea R. Stan [94]
144Dan Steingart [81] [104]
145Roy A. Sutton [49]
146Dennis Sylvester [75]
147Tuna B. Tarim [103]
148Lothar Thiele [18]
149Lars E. Thon [6]
150Thuan Trinh [91]
151Thomas E. Truman [27]
152Tim Tuan [59] [67]
153Joos Vandewalle [4]
154George Varghese [52] [55] [65]
155Rakesh Vattikonda [91]
156Ingrid Verbauwhede [21] [22] [23]
157Andrei Vladimirescu [79] [82]
158Ted Vucurevich [103]
159Kazutoshi Wakabayashi [89]
160Marlene Wan [47] [48] [65]
161Edward Wang [6]
162Ruth Wang [86]
163Yoshi Watanabe [89]
164Sven Wiethölter [83] [84]
165David Witt [87]
166Adam Wolisz [83] [84]
167Bruce Wooley [34]
168Paul K. Wright [67] [71] [81] [104]
169Shunzo Yamashita [78]
170Andrew Yang [75]
171J. Zegers [5]
172Hui Zhang [45] [52] [55] [65]
173Matthew M. Ziegler [94]

Colors in the list of coauthors

Copyright © Sun May 17 03:24:02 2009 by Michael Ley (ley@uni-trier.de)