dblp.uni-trier.dewww.uni-trier.de

Feihui Li

List of publications from the DBLP Bibliography Server - FAQ
Coauthor Index - Ask others: ACM DL/Guide - CiteSeer - CSB - Google - MSN - Yahoo
Home Page

2008
38EEGuangyu Chen, Feihui Li, Seung Woo Son, Mahmut T. Kandemir: Application mapping for chip multiprocessors. DAC 2008: 620-625
37EESayaka Akioka, Feihui Li, Konrad Malkowski, Padma Raghavan, Mahmut T. Kandemir, Mary Jane Irwin: Ring data location prediction scheme for Non-Uniform Cache Architectures. ICCD 2008: 693-698
36EEMahmut T. Kandemir, Feihui Li, Mary Jane Irwin, Seung Woo Son: A novel migration-based NUCA design for chip multiprocessors. SC 2008: 28
35EEFeihui Li, Mahmut T. Kandemir, Mary Jane Irwin: Implementation and evaluation of a migration-based NUCA design for chip multiprocessors. SIGMETRICS 2008: 449-450
2007
34EEGuangyu Chen, Feihui Li, Mahmut T. Kandemir: Compiler-directed application mapping for NoC based chip multiprocessors. LCTES 2007: 155-157
33EEGuangyu Chen, Feihui Li, Mahmut T. Kandemir: Reducing Energy Consumption of On-Chip Networks Through a Hybrid Compiler-Runtime Approach. PACT 2007: 163-174
32EESayaka Akioka, Feihui Li, Mahmut T. Kandemir, Padma Raghavan, Mary Jane Irwin: Ring Prediction for Non-Uniform Cache Architectures. PACT 2007: 401
31EEFeihui Li, Guangyu Chen, Mahmut T. Kandemir, Ibrahim Kolcu: Profile-driven energy reduction in network-on-chips. PLDI 2007: 394-404
30EELiping Xue, Mahmut T. Kandemir, Guilin Chen, Feihui Li, Ozcan Ozturk, R. Ramanarayanan, Balaji Vaidyanathan: Locality-Aware Distributed Loop Scheduling for Chip Multiprocessors. VLSI Design 2007: 251-258
29EEFeihui Li, Guilin Chen, Mahmut T. Kandemir, Ozcan Ozturk, Mustafa Karaköy, R. Ramanarayanan, Balaji Vaidyanathan: A Process Scheduler-Based Approach to NoC Power Management. VLSI Design 2007: 77-82
2006
28EESeung Woo Son, Guangyu Chen, Mahmut T. Kandemir, Feihui Li: Energy savings through embedded processing on disk system. ASP-DAC 2006: 128-133
27EEGuilin Chen, Mahmut T. Kandemir, Feihui Li: Energy-aware computation duplication for improving reliability in embedded chip multiprocessors. ASP-DAC 2006: 134-139
26EEIsmail Kadayif, Mahmut T. Kandemir, Feihui Li: Prefetching-aware cache line turnoff for saving leakage energy. ASP-DAC 2006: 182-187
25EEMahmut T. Kandemir, Guangyu Chen, Feihui Li: Maximizing data reuse for minimizing memory space requirements and execution cycles. ASP-DAC 2006: 808-813
24EEPriya Unnikrishnan, Mahmut T. Kandemir, Feihui Li: Reducing dynamic compilation overhead by overlapping compilation and execution. ASP-DAC 2006: 929-934
23EELiping Xue, Ozcan Ozturk, Feihui Li, Mahmut T. Kandemir, Ibrahim Kolcu: Dynamic partitioning of processing and memory resources in embedded MPSoC architectures. DATE 2006: 690-695
22EEMahmut T. Kandemir, Guangyu Chen, Feihui Li, Mary Jane Irwin, Ibrahim Kolcu: Activity clustering for leakage management in SPMs. DATE 2006: 696-697
21EEFeihui Li, Chrysostomos Nicopoulos, Thomas D. Richardson, Yuan Xie, Narayanan Vijaykrishnan, Mahmut T. Kandemir: Design and Management of 3D Chip Multiprocessors Using Network-in-Memory. ISCA 2006: 130-141
20EEFeihui Li, Mahmut T. Kandemir, Ibrahim Kolcu: Exploiting Software Pipelining for Network-on-Chip architectures. ISVLSI 2006: 295-302
19EEGuangyu Chen, Feihui Li, Ozcan Ozturk, Guangyu Chen, Mahmut T. Kandemir, Ibrahim Kolcu: Leakage-Aware SPM Management. ISVLSI 2006: 393-398
18EEGuangyu Chen, Feihui Li, Mahmut T. Kandemir, Ozcan Ozturk, I. Demirkiran: Compiler-Directed Management of Leakage Power in Software-Managed Memories. ISVLSI 2006: 450-451
17EEMadhu Mutyam, Feihui Li, Narayanan Vijaykrishnan, Mahmut T. Kandemir, Mary Jane Irwin: Compiler-directed thermal management for VLIW functional units. LCTES 2006: 163-172
16EEGuangyu Chen, Feihui Li, Mahmut T. Kandemir, Mary Jane Irwin: Reducing NoC energy consumption through compiler-directed channel voltage scaling. PLDI 2006: 193-203
15EEGuangyu Chen, Feihui Li, Mahmut T. Kandemir: Compiler-directed channel allocation for saving power in on-chip networks. POPL 2006: 194-205
2005
14EESri Hari Krishna Narayanan, Seung Woo Son, Mahmut T. Kandemir, Feihui Li: Using loop invariants to fight soft errors in data caches. ASP-DAC 2005: 1317-1320
13EEMahmut T. Kandemir, Guangyu Chen, Feihui Li, I. Demirkiran: Using data replication to reduce communication energy on chip multiprocessors. ASP-DAC 2005: 769-772
12EEGuangyu Chen, Feihui Li, Mahmut T. Kandemir, I. Demirkiran: Increasing FPGA resilience against soft errors using task duplication. ASP-DAC 2005: 924-927
11EEFeihui Li, Guangyu Chen, Mahmut T. Kandemir, Mary Jane Irwin: Compiler-directed proactive power management for networks. CASES 2005: 137-146
10EEFeihui Li, Guilin Chen, Mahmut T. Kandemir, Richard R. Brooks: A Compiler-Based Approach to Data Security. CC 2005: 188-203
9EEFeihui Li, Mahmut T. Kandemir: Locality-conscious workload assignment for array-based computations in MPSOC architectures. DAC 2005: 95-100
8EEMahmut T. Kandemir, Feihui Li, Guilin Chen, Guangyu Chen, Ozcan Ozturk: Studying Storage-Recomputation Tradeoffs in Memory-Constrained Embedded Processing. DATE 2005: 1026-1031
7EEJie S. Hu, Feihui Li, Vijay Degalahal, Mahmut T. Kandemir, Narayanan Vijaykrishnan, Mary Jane Irwin: Compiler-Directed Instruction Duplication for Soft Error Detection. DATE 2005: 1056-1057
6EEFeihui Li, Guilin Chen, Mahmut T. Kandemir, Mustafa Karaköy: Exploiting last idle periods of links for network power management. EMSOFT 2005: 134-137
5 Feihui Li, Guilin Chen, Mahmut T. Kandemir, Ibrahim Kolcu: Improving scratch-pad memory reliability through compiler-guided data block duplication. ICCAD 2005: 1002-1005
4 Feihui Li, Guilin Chen, Mahmut T. Kandemir: Compiler-directed voltage scaling on communication links for reducing power consumption. ICCAD 2005: 456-460
3EEFeihui Li, Mahmut T. Kandemir: Increasing Data TLB Resilience to Transient Errors. ISVLSI 2005: 297-298
2004
2EEFeihui Li, Pyush Agrawal, Grace Eberhardt, Eren Manavoglu, Secil Ugurel, Mahmut T. Kandemir: Improving Memory Performance of Embedded Java Applications by Dynamic Layout Modifications. IPDPS 2004
1EEFeihui Li, Mahmut T. Kandemir: Improving Performance of Java Applications Using a Coprocessor. IPDPS 2004

Coauthor Index

1Pyush Agrawal [2]
2Sayaka Akioka [32] [37]
3Richard R. Brooks [10]
4Guangyu Chen [8] [11] [12] [13] [15] [16] [18] [19] [22] [25] [28] [31] [33] [34] [38]
5Guilin Chen [4] [5] [6] [8] [10] [27] [29] [30]
6Vijay Degalahal [7]
7I. Demirkiran [12] [13] [18]
8Grace Eberhardt [2]
9Jie S. Hu [7]
10Mary Jane Irwin [7] [11] [16] [17] [22] [32] [35] [36] [37]
11Ismail Kadayif [26]
12Mahmut T. Kandemir [1] [2] [3] [4] [5] [6] [7] [8] [9] [10] [11] [12] [13] [14] [15] [16] [17] [18] [19] [20] [21] [22] [23] [24] [25] [26] [27] [28] [29] [30] [31] [32] [33] [34] [35] [36] [37] [38]
13Mustafa Karaköy [6] [29]
14Ibrahim Kolcu [5] [19] [20] [22] [23] [31]
15Konrad Malkowski [37]
16Eren Manavoglu [2]
17Madhu Mutyam [17]
18Sri Hari Krishna Narayanan [14]
19Chrysostomos Nicopoulos [21]
20Ozcan Ozturk [8] [18] [19] [23] [29] [30]
21Padma Raghavan [32] [37]
22R. Ramanarayanan [29] [30]
23Thomas D. Richardson [21]
24Seung Woo Son [14] [28] [36] [38]
25Secil Ugurel [2]
26Priya Unnikrishnan [24]
27Balaji Vaidyanathan [29] [30]
28Narayanan Vijaykrishnan (Vijaykrishnan Narayanan) [7] [17] [21]
29Yuan Xie [21]
30Liping Xue [23] [30]

Copyright © Sun May 17 03:24:02 2009 by Michael Ley (ley@uni-trier.de)