dblp.uni-trier.dewww.uni-trier.de

Prashant Saxena

List of publications from the DBLP Bibliography Server - FAQ
Coauthor Index - Ask others: ACM DL/Guide - CiteSeer - CSB - Google - MSN - Yahoo

2006
26EEPrashant Saxena: The scaling of interconnect buffer needs. SLIP 2006: 109-112
25EERupesh S. Shelar, Prashant Saxena, Sachin S. Sapatnekar: Technology Mapping Algorithm Targeting Routing Congestion Under Delay Constraints. IEEE Trans. on CAD of Integrated Circuits and Systems 25(4): 625-636 (2006)
24EEPrashant Saxena: On controlling perturbation due to repeaters during quadratic placement. IEEE Trans. on CAD of Integrated Circuits and Systems 25(9): 1733-1743 (2006)
2005
23EEPrashant Saxena, Kumar N. Lalgudi, Hans J. Greub, Janet Meiling Wang Roveda: A perturbation-aware noise convergence methodology for high frequency microprocessors. ASP-DAC 2005: 717-722
22EEBrent Goplen, Prashant Saxena, Sachin S. Sapatnekar: Net weighting to reduce repeater counts during placement. DAC 2005: 503-508
21EEZhuoyuan Li, Xianlong Hong, Qiang Zhou, Yici Cai, Jinian Bian, Hannal Yang, Prashant Saxena, Vijay Pitchumani: A divide-and-conquer 2.5-D floorplanning algorithm based on statistical wirelength estimation. ISCAS (6) 2005: 6230-6233
20EERupesh S. Shelar, Prashant Saxena, Xinning Wang, Sachin S. Sapatnekar: An efficient technology mapping algorithm targeting routing congestion under delay constraints. ISPD 2005: 137-144
19EERupesh S. Shelar, Sachin S. Sapatnekar, Prashant Saxena, Xinning Wang: A predictive distributed congestion metric with application to technology mapping. IEEE Trans. on CAD of Integrated Circuits and Systems 24(5): 696-710 (2005)
2004
18EEJanet Meiling Wang, Prashant Saxena, Omar Hafiz, Xing Wang: Realizable parasitic reduction for distributed interconnects using matrix pencil technique. ASP-DAC 2004: 780-785
17EEPrashant Saxena, Bill Halpin: Modeling repeaters explicitly within analytical placement. DAC 2004: 699-704
16EERupesh S. Shelar, Sachin S. Sapatnekar, Prashant Saxena, Xinning Wang: A predictive distributed congestion metric and its application to technology mapping. ISPD 2004: 210-217
15EEDesmond Kirkpatrick, Peter J. Osler, Louis Scheffer, Prashant Saxena, Dennis Sylvester: The great interconnect buffering debate: are you a chicken or an ostrich? ISPD 2004: 61
14EEPrashant Saxena, Noel Menezes, Pasquale Cocchini, Desmond Kirkpatrick: Repeater scaling and its impact on CAD. IEEE Trans. on CAD of Integrated Circuits and Systems 23(4): 451-463 (2004)
2003
13EEPrashant Saxena, Noel Menezes, Pasquale Cocchini, Desmond Kirkpatrick: The scaling challenge: can correct-by-construction design help? ISPD 2003: 51-58
12EEKi-Wook Kim, Seong-Ook Jung, Taewhan Kim, Prashant Saxena, C. L. Liu, S.-M. S. Kang: Coupling delay optimization by temporal decorrelation using dual threshold voltage technique. IEEE Trans. VLSI Syst. 11(5): 879-887 (2003)
11EEPrashant Saxena, Satyanarayan Gupta: On integrating power and signal routing for shield count minimization in congested regions. IEEE Trans. on CAD of Integrated Circuits and Systems 22(4): 437-445 (2003)
2002
10EEB. Chappell, X. Wang, P. Patra, Prashant Saxena, J. Vendrell, Satyanarayan Gupta, S. Varadarajan, W. Gomes, S. Hussain, H. Krishnamurthy, M. Venkateshmurthy, S. Jain: A System-Level Solution to Domino Synthesis with 2 GHz Application. ICCD 2002: 164-
9EEPrashant Saxena, Satyanarayan Gupta: Shield count minimization in congested regions. ISPD 2002: 78-83
2001
8EEKi-Wook Kim, Seong-Ook Jung, Prashant Saxena, C. L. Liu, Sung-Mo Kang: Coupling Delay Optimization by Temporal Decorrelation using Dual Threshold Voltage Technique. DAC 2001: 732-737
7EEPrashant Saxena, C. L. Liu: Optimization of the maximum delay of global interconnects duringlayer assignment. IEEE Trans. on CAD of Integrated Circuits and Systems 20(4): 503-515 (2001)
2000
6EEPrashant Saxena, C. L. Liu: A postprocessing algorithm for crosstalk-driven wire perturbation. IEEE Trans. on CAD of Integrated Circuits and Systems 19(6): 691-702 (2000)
1999
5EEPrashant Saxena, C. L. Liu: Crosstalk Minimization Using Wire Perturbations. DAC 1999: 100-103
4EEPrashant Saxena, Peichen Pan, C. L. Liu: The Retiming of Single-Phase Clocked Circuits Containing Level-Sensitive Latches. VLSI Design 1999: 402-407
1998
3EEPrashant Saxena, C. L. Liu: A performance-driven layer assignment algorithm for multiple interconnect trees. ICCAD 1998: 124-127
1996
2 Vamsi Boppana, Prashant Saxena, Prithviraj Banerjee, W. Kent Fuchs, C. L. Liu: A Parallel Algorithm for the Technology Mapping of LUT-Based FPGAs. Euro-Par, Vol. I 1996: 828-831
1994
1 Aviezri S. Fraenkel, Edward M. Reingold, Prashant Saxena: Efficient Management of Dynamic Tables. Inf. Process. Lett. 50(1): 25-30 (1994)

Coauthor Index

1Prithviraj Banerjee (Prith Banerjee) [2]
2Jinian Bian [21]
3Vamsi Boppana [2]
4Yici Cai [21]
5B. Chappell [10]
6Pasquale Cocchini [13] [14]
7Aviezri S. Fraenkel [1]
8W. Kent Fuchs [2]
9W. Gomes [10]
10Brent Goplen [22]
11Hans J. Greub [23]
12Satyanarayan Gupta [9] [10] [11]
13Omar Hafiz [18]
14Bill Halpin [17]
15Xianlong Hong [21]
16S. Hussain [10]
17S. Jain [10]
18Seong-Ook Jung [8] [12]
19S.-M. S. Kang [12]
20Sung-Mo Kang [8]
21Ki-Wook Kim [8] [12]
22Taewhan Kim [12]
23Desmond Kirkpatrick [13] [14] [15]
24H. Krishnamurthy [10]
25Kumar N. Lalgudi [23]
26Zhuoyuan Li [21]
27C. L. Liu (Chung Laung (Dave) Liu) [2] [3] [4] [5] [6] [7] [8] [12]
28Noel Menezes [13] [14]
29Peter J. Osler [15]
30Peichen Pan [4]
31P. Patra [10]
32Vijay Pitchumani [21]
33Edward M. Reingold [1]
34Sachin S. Sapatnekar [16] [19] [20] [22] [25]
35Louis Scheffer [15]
36Rupesh S. Shelar [16] [19] [20] [25]
37Dennis Sylvester [15]
38S. Varadarajan [10]
39J. Vendrell [10]
40M. Venkateshmurthy [10]
41Janet Meiling Wang (Janet Meiling Wang Roveda) [18] [23]
42X. Wang [10]
43Xing Wang [18]
44Xinning Wang [16] [19] [20]
45Hannal Yang [21]
46Qiang Zhou [21]

Colors in the list of coauthors

Copyright © Sun May 17 03:24:02 2009 by Michael Ley (ley@uni-trier.de)