dblp.uni-trier.dewww.uni-trier.de

Mary Jane Irwin

List of publications from the DBLP Bibliography Server - FAQ
Coauthor Index - Ask others: ACM DL/Guide - CiteSeer - CSB - Google - MSN - Yahoo
Home Page

2009
272 Mary Lou Soffa, Mary Jane Irwin: Proceedings of the 14th International Conference on Architectural Support for Programming Languages and Operating Systems, ASPLOS 2009, Washington, DC, USA, March 7-11, 2009 ACM 2009
271EEYang Ding, Mahmut T. Kandemir, Mary Jane Irwin, Padma Raghavan: Adapting Application Mapping to Systematic Within-Die Process Variations on Chip Multiprocessors. HiPEAC 2009: 231-247
270EEAditya Yanamandra, Mary Jane Irwin, Vijaykrishnan Narayanan, Mahmut T. Kandemir, Sri Hari Krishna Narayanan: In-Network Caching for Chip Multiprocessors. HiPEAC 2009: 373-388
2008
269EEPrasanth Mangalagiri, Karthik Sarpatwari, Aditya Yanamandra, Vijaykrishnan Narayanan, Yuan Xie, Mary Jane Irwin, Osama Awadel Karim: A low-power phase change memory based hybrid cache architecture. ACM Great Lakes Symposium on VLSI 2008: 395-398
268EEShekhar Srikantaiah, Mahmut T. Kandemir, Mary Jane Irwin: Adaptive set pinning: managing shared caches in chip multiprocessors. ASPLOS 2008: 135-144
267EENiranjan Soundararajan, Aditya Yanamandra, Chrysostomos Nicopoulos, Narayanan Vijaykrishnan, Anand Sivasubramaniam, Mary Jane Irwin: Analysis and solutions to issue queue process variation. DSN 2008: 11-21
266EETaylan Yemliha, Shekhar Srikantaiah, Mahmut T. Kandemir, Mustafa Karaköy, Mary Jane Irwin: Integrated code and data placement in two-dimensional mesh based chip multiprocessors. ICCAD 2008: 583-588
265EESayaka Akioka, Feihui Li, Konrad Malkowski, Padma Raghavan, Mahmut T. Kandemir, Mary Jane Irwin: Ring data location prediction scheme for Non-Uniform Cache Architectures. ICCD 2008: 693-698
264EEAditya Yanamandra, Bryan Cover, Padma Raghavan, Mary Jane Irwin, Mahmut T. Kandemir: Evaluating the role of scratchpad memories in chip multiprocessors for sparse matrix computations. IPDPS 2008: 1-10
263EEYang Ding, Mahmut T. Kandemir, Padma Raghavan, Mary Jane Irwin: A helper thread based EDP reduction scheme for adapting application execution in CMPs. IPDPS 2008: 1-14
262EEPadma Raghavan, Mahmut T. Kandemir, Mary Jane Irwin, Konrad Malkowski: Managing power, performance and reliability trade-offs. IPDPS 2008: 1-5
261EEKrishnan Ramakrishnan, R. Rajaraman, Narayanan Vijaykrishnan, Yuan Xie, Mary Jane Irwin, K. Unlu: Hierarchical Soft Error Estimation Tool (HSEET). ISQED 2008: 680-683
260EEMahmut T. Kandemir, Feihui Li, Mary Jane Irwin, Seung Woo Son: A novel migration-based NUCA design for chip multiprocessors. SC 2008: 28
259EEFeihui Li, Mahmut T. Kandemir, Mary Jane Irwin: Implementation and evaluation of a migration-based NUCA design for chip multiprocessors. SIGMETRICS 2008: 449-450
258EESuresh Srinivasan, Krishnan Ramakrishnan, Prasanth Mangalagiri, Yuan Xie, Vijaykrishnan Narayanan, Mary Jane Irwin, Karthik Sarpatwari: Toward Increasing FPGA Lifetime. IEEE Trans. Dependable Sec. Comput. 5(2): 115-127 (2008)
257EEYuh-Fang Tsai, Feng Wang, Yuan Xie, Narayanan Vijaykrishnan, Mary Jane Irwin: Design Space Exploration for 3-D Cache. IEEE Trans. VLSI Syst. 16(4): 444-455 (2008)
2007
256EEKonrad Malkowski, Padma Raghavan, Mary Jane Irwin: Memory Optimizations For Fast Power-Aware Sparse Computations. IPDPS 2007: 1-6
255EES. Conner, Sayaka Akioka, Mary Jane Irwin, Padma Raghavan: Link Shutdown Opportunities During Collective Communications in 3-D Torus Nets. IPDPS 2007: 1-8
254EEKonrad Malkowski, Greg M. Link, Padma Raghavan, Mary Jane Irwin: Load Miss Prediction - Exploiting Power Performance Trade-offs. IPDPS 2007: 1-8
253EEKonrad Malkowski, Padma Raghavan, Mahmut T. Kandemir, Mary Jane Irwin: Phase-aware adaptive hardware selection for power-efficient scientific computations. ISLPED 2007: 403-406
252EEAmol Mupid, Madhu Mutyam, Narayanan Vijaykrishnan, Yuan Xie, Mary Jane Irwin: Variation Analysis of CAM Cells. ISQED 2007: 333-338
251EEKrishnan Ramakrishnan, R. Rajaraman, S. Suresh, Narayanan Vijaykrishnan, Yuan Xie, Mary Jane Irwin: Variation Impact on SER of Combinational Circuits. ISQED 2007: 911-916
250EEAndrew J. Ricketts, Madhu Mutyam, Narayanan Vijaykrishnan, Mary Jane Irwin: Investigating Simple Low Latency Reliable Multiported Register Files. ISVLSI 2007: 375-382
249EESayaka Akioka, Feihui Li, Mahmut T. Kandemir, Padma Raghavan, Mary Jane Irwin: Ring Prediction for Non-Uniform Cache Architectures. PACT 2007: 401
248EEBalaji Vaidyanathan, Wei-Lun Hung, Feng Wang, Yuan Xie, Narayanan Vijaykrishnan, Mary Jane Irwin: Architecting Microprocessor Components in 3D Design Space. VLSI Design 2007: 103-108
247EEKrishnan Ramakrishnan, S. Suresh, Narayanan Vijaykrishnan, Mary Jane Irwin: Impact of NBTI on FPGAs. VLSI Design 2007: 717-722
246EEWei-Lun Hung, Yuan Xie, Narayanan Vijaykrishnan, Mahmut T. Kandemir, Mary Jane Irwin: Thermal-Aware Task Allocation and Scheduling for Embedded Systems CoRR abs/0710.4660: (2007)
245EEYuh-Fang Tsai, Vijaykrishnan Narayanan, Yuan Xie, Mary Jane Irwin: Leakage-Aware Interconnect for On-Chip Network CoRR abs/0710.4731: (2007)
244EERonald F. Boisvert, Mary Jane Irwin, Holly E. Rushmeier: Evolving the ACM journal distribution program. Commun. ACM 50(9): 19-20 (2007)
243EESoontae Kim, Narayanan Vijaykrishnan, Mary Jane Irwin: Reducing non-deterministic loads in low-power caches via early cache set resolution. Microprocessors and Microsystems 31(5): 293-301 (2007)
242EEYuan Xie, Lin Li, Mahmut T. Kandemir, Narayanan Vijaykrishnan, Mary Jane Irwin: Reliability-aware Co-synthesis for Embedded Systems. VLSI Signal Processing 49(1): 87-99 (2007)
2006
241 Mary Jane Irwin, Koen De Bosschere: Proceedings of the 2006 ACM SIGPLAN/SIGBED Conference on Languages, Compilers, and Tools for Embedded Systems (LCTES'06), Ottawa, Ontario, Canada, June 14-16, 2006 ACM 2006
240EEGuilin Chen, Mahmut T. Kandemir, Narayanan Vijaykrishnan, Mary Jane Irwin: Object duplication for improving reliability. ASP-DAC 2006: 140-145
239EEAndrew J. Ricketts, Kevin M. Irick, Narayanan Vijaykrishnan, Mary Jane Irwin: Priority scheduling in digital microfluidics-based biochips. DATE 2006: 329-334
238EEMahmut T. Kandemir, Guangyu Chen, Feihui Li, Mary Jane Irwin, Ibrahim Kolcu: Activity clustering for leakage management in SPMs. DATE 2006: 696-697
237EEFeng Wang, Yuan Xie, Narayanan Vijaykrishnan, Mary Jane Irwin: On-chip bus thermal analysis and optimization. DATE 2006: 850-855
236EEOzcan Ozturk, Mahmut T. Kandemir, Mary Jane Irwin, Suleyman Tosun: Multi-Level On-Chip Memory Hierarchy Design for Embedded Chip Multiprocessors. ICPADS (1) 2006: 383-390
235EEKonrad Malkowski, Ingyu Lee, Padma Raghavan, Mary Jane Irwin: Conjugate gradient sparse solvers: performance-power characteristics. IPDPS 2006
234EEChun Liu, Anand Sivasubramaniam, Mahmut T. Kandemir, Mary Jane Irwin: Enhancing L2 organization for CMPs with a center cell. IPDPS 2006
233EEKonrad Malkowski, Ingyu Lee, Padma Raghavan, Mary Jane Irwin: On improving performance and energy profiles of sparse scientific applications. IPDPS 2006
232EEWei-Lun Hung, Greg M. Link, Yuan Xie, Narayanan Vijaykrishnan, Mary Jane Irwin: Interconnect and Thermal-aware Floorplanning for 3D Microprocessors. ISQED 2006: 98-104
231EETheo Theocharides, Narayanan Vijaykrishnan, Mary Jane Irwin: A Parallel Architecture for Hardware Face Detection. ISVLSI 2006: 452-453
230EESayaka Akioka, Konrad Malkowski, Padma Raghavan, Mary Jane Irwin, Lois C. McInnes, Boyana Norris: Characterizing the Performance and Energy Attributes of Scientific Simulations. International Conference on Computational Science (1) 2006: 242-249
229EEMadhu Mutyam, Feihui Li, Narayanan Vijaykrishnan, Mahmut T. Kandemir, Mary Jane Irwin: Compiler-directed thermal management for VLIW functional units. LCTES 2006: 163-172
228EEGuangyu Chen, Feihui Li, Mahmut T. Kandemir, Mary Jane Irwin: Reducing NoC energy consumption through compiler-directed channel voltage scaling. PLDI 2006: 193-203
227EES. Conner, Greg M. Link, S. Tobita, Mary Jane Irwin, Padma Raghavan: Poster reception - Energy/performance modeling for collective communication in 3-D torus cluster networks. SC 2006: 138
226EEKonrad Malkowski, Padma Raghavan, Mary Jane Irwin: Poster reception - Toward a power efficient computer architecture for Barnes-Hut N-body simulations. SC 2006: 146
225EER. Rajaraman, J. S. Kim, Narayanan Vijaykrishnan, Yuan Xie, Mary Jane Irwin: SEAT-LA: A Soft Error Analysis Tool for Combinational Logic. VLSI Design 2006: 499-502
224EEWei Zhang, Yuh-Fang Tsai, David Duarte, Narayanan Vijaykrishnan, Mahmut T. Kandemir, Mary Jane Irwin: Reducing dynamic and leakage energy in VLIW architectures. ACM Trans. Embedded Comput. Syst. 5(1): 1-28 (2006)
223EEGuilin Chen, Mahmut T. Kandemir, Mary Jane Irwin, J. Ramanujam: Reducing code size through address register assignment. ACM Trans. Embedded Comput. Syst. 5(1): 225-258 (2006)
222EERonald F. Boisvert, Mary Jane Irwin: Plagiarism on the rise. Commun. ACM 49(6): 23-24 (2006)
221EEJooheung Lee, Narayanan Vijaykrishnan, Mary Jane Irwin, Wayne Wolf: An efficient architecture for motion estimation and compensation in the transform domain. IEEE Trans. Circuits Syst. Video Techn. 16(2): 191-201 (2006)
220EEJooheung Lee, Narayanan Vijaykrishnan, Mary Jane Irwin: Inverse discrete cosine transform architecture exploiting sparseness and symmetry properties. IEEE Trans. Circuits Syst. Video Techn. 16(5): 655-662 (2006)
2005
219EEOzcan Ozturk, Mahmut T. Kandemir, Mary Jane Irwin: Using data compression in an MPSoC architecture for improving performance. ACM Great Lakes Symposium on VLSI 2005: 353-356
218EENarayanan Vijaykrishnan, Yuan Xie, Mary Jane Irwin: Designing reliable circuit in the presence of soft errors. ASP-DAC 2005: 1
217EEG. Chen, Mahmut T. Kandemir, Mary Jane Irwin, Gokhan Memik: Compiler-directed selective data protection against soft errors. ASP-DAC 2005: 713-716
216EEOzcan Ozturk, Mahmut T. Kandemir, G. Chen, Mary Jane Irwin, Mustafa Karaköy: Customized on-chip memories for embedded chip multiprocessors. ASP-DAC 2005: 743-748
215EEFeihui Li, Guangyu Chen, Mahmut T. Kandemir, Mary Jane Irwin: Compiler-directed proactive power management for networks. CASES 2005: 137-146
214EEOzcan Ozturk, Mahmut T. Kandemir, Mary Jane Irwin: Increasing on-chip memory space utilization for embedded chip multiprocessors through data compression. CODES+ISSS 2005: 87-92
213EEAman Gayasen, Narayanan Vijaykrishnan, Mary Jane Irwin: Exploring technology alternatives for nano-scale FPGA interconnects. DAC 2005: 921-926
212EEOzcan Ozturk, Mahmut T. Kandemir, Mary Jane Irwin: BB-GC: Basic-Block Level Garbage Collection. DATE 2005: 1032-1037
211EEJie S. Hu, Feihui Li, Vijay Degalahal, Mahmut T. Kandemir, Narayanan Vijaykrishnan, Mary Jane Irwin: Compiler-Directed Instruction Duplication for Soft Error Detection. DATE 2005: 1056-1057
210EEYuh-Fang Tsai, Narayanan Vijaykrishnan, Yuan Xie, Mary Jane Irwin: Leakage-Aware Interconnect for On-Chip Network. DATE 2005: 230-231
209EEWei-Lun Hung, Yuan Xie, Narayanan Vijaykrishnan, Mahmut T. Kandemir, Mary Jane Irwin: Thermal-Aware Task Allocation and Scheduling for Embedded Systems. DATE 2005: 898-899
208EEYuh-Fang Tsai, Yuan Xie, Narayanan Vijaykrishnan, Mary Jane Irwin: Three-Dimensional Cache Design Exploration Using 3DCacti. ICCD 2005: 519-524
207EEPadma Raghavan, Mary Jane Irwin, Lois C. McInnes, Boyana Norris: Adaptive Software for Scientific Computing: Co-Managing Quality-Performance-Power Tradeoffs. IPDPS 2005
206EEChun Liu, Anand Sivasubramaniam, Mahmut T. Kandemir, Mary Jane Irwin: Exploiting Barriers to Optimize Power Consumption of CMPs. IPDPS 2005
205EEGuiling Wang, Mary Jane Irwin, Piotr Berman, Haoying Fu, Thomas F. La Porta: Optimizing sensor movement planning for energy efficiency. ISLPED 2005: 215-220
204EEWei-Lun Hung, Yuan Xie, Narayanan Vijaykrishnan, Charles Addo-Quaye, Theo Theocharides, Mary Jane Irwin: Thermal-Aware Floorplanning Using Genetic Algorithms. ISQED 2005: 634-639
203EEJ. Lee, Narayanan Vijaykrishnan, Mary Jane Irwin: High Performance Array Processor for Video Decoding. ISVLSI 2005: 28-33
202EEGuangyu Chen, Mahmut T. Kandemir, Mary Jane Irwin: Exploiting frequent field values in java objects for reducing heap memory requirements. VEE 2005: 68-78
201EETheo Theocharides, Greg M. Link, Narayanan Vijaykrishnan, Mary Jane Irwin: Implementing LDPC Decoding on Network-on-Chip. VLSI Design 2005: 134-137
200EEKevin M. Irick, Wei Xu, Narayanan Vijaykrishnan, Mary Jane Irwin: A Nanosensor Array-Based VLSI Gas Discriminator. VLSI Design 2005: 241-246
199EEYuh-Fang Tsai, Narayanan Vijaykrishnan, Yuan Xie, Mary Jane Irwin: Influence of Leakage Reduction Techniques on Delay/Leakage Uncertainty. VLSI Design 2005: 374-379
198EEIsmail Kadayif, Mahmut T. Kandemir, Guilin Chen, Narayanan Vijaykrishnan, Mary Jane Irwin, Anand Sivasubramaniam: Compiler-directed high-level energy estimation and optimization. ACM Trans. Embedded Comput. Syst. 4(4): 819-850 (2005)
197EEJ. Hu, Mahmut T. Kandemir, Narayanan Vijaykrishnan, Mary Jane Irwin: Analyzing data reuse for cache reconfiguration. ACM Trans. Embedded Comput. Syst. 4(4): 851-876 (2005)
196 Theocharis Theocharides, Greg M. Link, Narayanan Vijaykrishnan, Mary Jane Irwin: Networks on Chip (NoC): Interconnects of Next Generation Systems on Chip. Advances in Computers 63: 36-92 (2005)
195EESrinivasan Murali, Theo Theocharides, Narayanan Vijaykrishnan, Mary Jane Irwin, Luca Benini, Giovanni De Micheli: Analysis of Error Recovery Schemes for Networks on Chips. IEEE Design & Test of Computers 22(5): 434-442 (2005)
194EEEun Jung Kim, Greg M. Link, Ki Hwan Yum, Narayanan Vijaykrishnan, Mahmut T. Kandemir, Mary Jane Irwin, Chita R. Das: A Holistic Approach to Designing Energy-Efficient Cluster Interconnects. IEEE Trans. Computers 54(6): 660-671 (2005)
193EEMahmut T. Kandemir, Mary Jane Irwin, Guangyu Chen, Ibrahim Kolcu: Compiler-guided leakage optimization for banked scratch-pad memories. IEEE Trans. VLSI Syst. 13(10): 1136-1146 (2005)
192EEVijay Degalahal, Lin Li, Narayanan Vijaykrishnan, Mahmut T. Kandemir, Mary Jane Irwin: Soft errors issues in low-power caches. IEEE Trans. VLSI Syst. 13(10): 1157-1166 (2005)
191EEEric J. Swankoski, Narayanan Vijaykrishnan, Richard R. Brooks, Mahmut T. Kandemir, Mary Jane Irwin: Symmetric encryption in reconfigurable and custom hardware. IJES 1(3/4): 205-217 (2005)
190EEIsmail Kadayif, Mahmut T. Kandemir, Narayanan Vijaykrishnan, Mary Jane Irwin: An integer linear programming-based tool for wireless sensor networks. J. Parallel Distrib. Comput. 65(3): 247-260 (2005)
189EEMary Jane Irwin, Narayanan Vijaykrishnan: Editorial. JETC 1(1): 1-6 (2005)
2004
188 Mary Jane Irwin, Wei Zhao, Luciano Lavagno, Scott A. Mahlke: Proceedings of the 2004 International Conference on Compilers, Architecture, and Synthesis for Embedded Systems, CASES 2004, Washington DC, USA, September 22 - 25, 2004 ACM 2004
187EEOzcan Ozturk, Mahmut T. Kandemir, Mary Jane Irwin, Ibrahim Kolcu: Tuning data replication for improving behavior of MPSoC applications. ACM Great Lakes Symposium on VLSI 2004: 170-173
186EEWei Xu, Narayanan Vijaykrishnan, Yuan Xie, Mary Jane Irwin: Design of a nanosensor array architecture. ACM Great Lakes Symposium on VLSI 2004: 298-303
185EEYuan Xie, Lin Li, Mahmut T. Kandemir, Narayanan Vijaykrishnan, Mary Jane Irwin: Reliability-Aware Co-Synthesis for Embedded Systems. ASAP 2004: 41-50
184EEGuilin Chen, Mahmut T. Kandemir, Narayanan Vijaykrishnan, Anand Sivasubramaniam, Mary Jane Irwin: Analyzing heap error behavior in embedded JVM environments. CODES+ISSS 2004: 230-235
183EEOzcan Ozturk, Mahmut T. Kandemir, I. Demirkiran, Guangyu Chen, Mary Jane Irwin: Data compression for improving SPM behavior. DAC 2004: 401-406
182EELin Li, Narayanan Vijaykrishnan, Mahmut T. Kandemir, Mary Jane Irwin: A Crosstalk Aware Interconnect with Variable Cycle Transmission. DATE 2004: 102-107
181EEJie S. Hu, Narayanan Vijaykrishnan, Soontae Kim, Mahmut T. Kandemir, Mary Jane Irwin: Scheduling Reusable Instructions for Power Reduction. DATE 2004: 148-155
180EEMahmut T. Kandemir, Ozcan Ozturk, Mary Jane Irwin, Ibrahim Kolcu: Using Data Compression to Increase Energy Savings in Multi-bank Memories. Euro-Par 2004: 310-317
179EEVictor De La Luz, Mahmut T. Kandemir, Anand Sivasubramaniam, Mary Jane Irwin: Exploring the Possibility of Operating in the Compressed Domain. Euro-Par 2004: 507-515
178EEAman Gayasen, Yuh-Fang Tsai, Narayanan Vijaykrishnan, Mahmut T. Kandemir, Mary Jane Irwin, Tim Tuan: Reducing leakage energy in FPGAs using region-constrained placement. FPGA 2004: 51-58
177EEAman Gayasen, K. Lee, Narayanan Vijaykrishnan, Mahmut T. Kandemir, Mary Jane Irwin, Tim Tuan: A Dual-VDD Low Power FPGA Architecture. FPL 2004: 145-157
176EEJie S. Hu, Narayanan Vijaykrishnan, Mary Jane Irwin: Exploring Wakeup-Free Instruction Scheduling. HPCA 2004: 232-243
175EESuresh Srinivasan, Aman Gayasen, Narayanan Vijaykrishnan, Mahmut T. Kandemir, Yuan Xie, Mary Jane Irwin: Improving soft-error tolerance of FPGA configuration bits. ICCAD 2004: 107-110
174EEMahmut T. Kandemir, Mary Jane Irwin, Guilin Chen, Ibrahim Kolcu: Banked scratch-pad memory management for reducing leakage energy consumption. ICCAD 2004: 120-124
173EEFrank Ghenassia, Narayanan Vijaykrishnan, Mary Jane Irwin: Analyzing software influences on substrate noise: an ADC perspective. ICCAD 2004: 916-922
172EEWei-Lun Hung, Charles Addo-Quaye, Theo Theocharides, Yuan Xie, Narayanan Vijaykrishnan, Mary Jane Irwin: Thermal-Aware IP Virtualization and Placement for Networks-on-Chip Architecture. ICCD 2004: 430-437
171EEEric J. Swankoski, Narayanan Vijaykrishnan, Mahmut T. Kandemir, Mary Jane Irwin: A Parallel Architecture for Secure FPGA Symmetric Encryption. IPDPS 2004
170EELin Li, Vijay Degalahal, Narayanan Vijaykrishnan, Mahmut T. Kandemir, Mary Jane Irwin: Soft error and energy consumption interactions: a data cache perspective. ISLPED 2004: 132-137
169EEGuangyu Chen, Mahmut T. Kandemir, Narayanan Vijaykrishnan, Mary Jane Irwin: Field level analysis for heap space optimization in embedded java environments. ISMM 2004: 131-142
168EEVijay Degalahal, R. Ramanarayanan, Narayanan Vijaykrishnan, Yuan Xie, Mary Jane Irwin: The Effect of Threshold Voltages on the Soft Error Rate. ISQED 2004: 503-508
167EEMatthew Pirretti, Greg M. Link, Richard R. Brooks, Narayanan Vijaykrishnan, Mahmut T. Kandemir, Mary Jane Irwin: Fault Tolerant Algorithms for Network-On-Chip Interconnect. ISVLSI 2004: 46-51
166EETheo Theocharides, Greg M. Link, Eric J. Swankoski, Narayanan Vijaykrishnan, Mary Jane Irwin, Herman Schmit: Evaluating Alternative Implementations for LDPC Decoder Check Node Function. ISVLSI 2004: 77-82
165EEHendra Saputra, Guangyu Chen, Richard R. Brooks, Narayanan Vijaykrishnan, Mahmut T. Kandemir, Mary Jane Irwin: Code protection for resource-constrained embedded devices. LCTES 2004: 240-248
164EEJ. Lee, Narayanan Vijaykrishnan, Mary Jane Irwin, Wayne Wolf: An Architecture for Motion Estimation in the Transform Domain. VLSI Design 2004: 1077-1082
163EETheo Theocharides, Greg M. Link, Narayanan Vijaykrishnan, Mary Jane Irwin, Wayne Wolf: Embedded Hardware Face Detection. VLSI Design 2004: 133-
162EEM. DeRenzo, Mary Jane Irwin, Narayanan Vijaykrishnan: Designing Leakage Aware Multipliers. VLSI Design 2004: 654-657
161EEGuangyu Chen, Byung-Tae Kang, Mahmut T. Kandemir, Narayanan Vijaykrishnan, Mary Jane Irwin, Rajarathnam Chandramouli: Studying Energy Trade Offs in Offloading Computation/Compilation in Java-Enabled Mobile Devices. IEEE Trans. Parallel Distrib. Syst. 15(9): 795-809 (2004)
160EEYuh-Fang Tsai, D. E. Duarte, Narayanan Vijaykrishnan, Mary Jane Irwin: Characterization and modeling of run-time techniques for leakage power reduction. IEEE Trans. VLSI Syst. 12(11): 1221-1233 (2004)
159EEMahmut T. Kandemir, J. Ramanujam, Mary Jane Irwin, Narayanan Vijaykrishnan, Ismail Kadayif, Amisha Parikh: A compiler-based approach for dynamically managing scratch-pad memories in embedded systems. IEEE Trans. on CAD of Integrated Circuits and Systems 23(2): 243-260 (2004)
158EEWei Zhang, Jie S. Hu, Vijay Degalahal, Mahmut T. Kandemir, Narayanan Vijaykrishnan, Mary Jane Irwin: Reducing instruction cache energy consumption using a compiler-based strategy. TACO 1(1): 3-33 (2004)
157EEAmisha Parikh, Soontae Kim, Mahmut T. Kandemir, Narayanan Vijaykrishnan, Mary Jane Irwin: Instruction Scheduling for Low Power. VLSI Signal Processing 37(1): 129-149 (2004)
2003
156EEGuilin Chen, Mahmut T. Kandemir, Hendra Saputra, Mary Jane Irwin: Exploiting bank locality in multi-bank memories. CASES 2003: 287-297
155EEWei Zhang, Mahmut T. Kandemir, Anand Sivasubramaniam, Mary Jane Irwin: Performance, energy, and reliability tradeoffs in replicating hot cache lines. CASES 2003: 309-317
154EEMahmut T. Kandemir, Mary Jane Irwin, Guilin Chen, J. Ramanujam: Address Register Assignment for Reducing Code Size. CC 2003: 273-289
153EEAnanth Hegde, Narayanan Vijaykrishnan, Mahmut T. Kandemir, Mary Jane Irwin: VL-CDRAM: variable line sized cached DRAMs. CODES+ISSS 2003: 132-137
152EEGuangyu Chen, Narayanan Vijaykrishnan, Mahmut T. Kandemir, Mary Jane Irwin, Mario Wolczko: Tracking object life cycle for leakage energy optimization. CODES+ISSS 2003: 213-218
151EEYuh-Fang Tsai, David Duarte, Narayanan Vijaykrishnan, Mary Jane Irwin: Implications of technology scaling on leakage reduction techniques. DAC 2003: 187-190
150EEHendra Saputra, Narayanan Vijaykrishnan, Mahmut T. Kandemir, Mary Jane Irwin, Richard R. Brooks, Soontae Kim, Wei Zhang: Masking the Energy Behavior of DES Encryption. DATE 2003: 10084-10089
149EEWei Zhang, Mahmut T. Kandemir, Narayanan Vijaykrishnan, Mary Jane Irwin, Vivek De: Compiler Support for Reducing Leakage Energy Consumption. DATE 2003: 11146-11147
148EELin Li, Narayanan Vijaykrishnan, Mahmut T. Kandemir, Mary Jane Irwin, Ismail Kadayif: CCC: Crossbar Connected Caches for Reducing Energy Consumption of On-Chip Multiprocessors. DSD 2003: 41-49
147EELin Li, Narayanan Vijaykrishnan, Mahmut T. Kandemir, Mary Jane Irwin: Adapative Error Protection for Energy Efficiency. ICCAD 2003: 2-7
146EEVictor Delaluz, Mahmut T. Kandemir, Anand Sivasubramaniam, Mary Jane Irwin, Narayanan Vijaykrishnan: Reducing dTLB Energy Through Dynamic Resizing. ICCD 2003: 358-363
145EESudhanva Gurumurthi, Ning An, Anand Sivasubramaniam, Narayanan Vijaykrishnan, Mahmut T. Kandemir, Mary Jane Irwin: Energy and Performance Considerations in Work Partitioning for Mobile Spatial Queries. IPDPS 2003: 33
144EEGuilin Chen, Byung-Tae Kang, Mahmut T. Kandemir, Narayanan Vijaykrishnan, Mary Jane Irwin, Rajarathnam Chandramouli: Energy-Aware Compilation and Execution in Java-Enabled Mobile Devices. IPDPS 2003: 34
143EESoontae Kim, Narayanan Vijaykrishnan, Mary Jane Irwin, Lizy Kurian John: On load latency in low-power caches. ISLPED 2003: 258-261
142EEHyun Suk Kim, Narayanan Vijaykrishnan, Mahmut T. Kandemir, Erik Brockmeyer, Francky Catthoor, Mary Jane Irwin: Estimating influence of data layout optimizations on SDRAM energy consumption. ISLPED 2003: 40-43
141EEJie S. Hu, A. Nadgir, Narayanan Vijaykrishnan, Mary Jane Irwin, Mahmut T. Kandemir: Exploiting program hotspots and code sequentiality for instruction cache leakage management. ISLPED 2003: 402-407
140EEEun Jung Kim, Ki Hwan Yum, Greg M. Link, Narayanan Vijaykrishnan, Mahmut T. Kandemir, Mary Jane Irwin, Mazin S. Yousif, Chita R. Das: Energy optimization techniques in cluster interconnects. ISLPED 2003: 459-464
139EESudhanva Gurumurthi, Jianyong Zhang, Anand Sivasubramaniam, Mahmut T. Kandemir, Hubertus Franke, Narayanan Vijaykrishnan, Mary Jane Irwin: Interplay of energy and performance for disk arrays running transaction processing workloads. ISPASS 2003: 123-132
138EEJie S. Hu, Narayanan Vijaykrishnan, Mary Jane Irwin, Mahmut T. Kandemir: Using Dynamic Branch Behavior for Power-Efficient Instruction Fetch. ISVLSI 2003: 127-132
137EEHyun Suk Kim, Narayanan Vijaykrishnan, Mahmut T. Kandemir, Mary Jane Irwin: Adapting instruction level parallelism for optimizing leakage in VLIW architectures. LCTES 2003: 275-283
136EEGuangyu Chen, Mahmut T. Kandemir, Narayanan Vijaykrishnan, Mary Jane Irwin, Bernd Mathiske, Mario Wolczko: Heap compression for memory-constrained Java environments. OOPSLA 2003: 282-301
135EEVijay Degalahal, Narayanan Vijaykrishnan, Mary Jane Irwin: Analyzing Soft Errors in Leakage Optimized SRAM Design. VLSI Design 2003: 227-233
134EESoontae Kim, Narayanan Vijaykrishnan, Mahmut T. Kandemir, Anand Sivasubramaniam, Mary Jane Irwin: Partitioned instruction cache architecture for energy efficiency. ACM Trans. Embedded Comput. Syst. 2(2): 163-185 (2003)
133EENam Sung Kim, Todd M. Austin, David Blaauw, Trevor N. Mudge, Krisztián Flautner, Jie S. Hu, Mary Jane Irwin, Mahmut T. Kandemir, Narayanan Vijaykrishnan: Leakage Current: Moore's Law Meets Static Power. IEEE Computer 36(12): 68-75 (2003)
132 Mary Jane Irwin: Power-Aware Designers at Odds with Power Grid Designers? IEEE Design & Test of Computers 20(3): 120- (2003)
131EENarayanan Vijaykrishnan, Mahmut T. Kandemir, Mary Jane Irwin, Hyun Suk Kim, Wu Ye, David Duarte: Evaluating Integrated Hardware-Software Optimizations Using a Unified Energy Estimation Framework. IEEE Trans. Computers 52(1): 59-76 (2003)
130EELin Li, Ismail Kadayif, Yuh-Fang Tsai, Narayanan Vijaykrishnan, Mahmut T. Kandemir, Mary Jane Irwin, Anand Sivasubramaniam: Managing Leakage Energy in Cache Hierarchies. J. Instruction-Level Parallelism 5: (2003)
2002
129 Vivek De, Mary Jane Irwin, Ingrid Verbauwhede, Christian Piguet: Proceedings of the 2002 International Symposium on Low Power Electronics and Design, 2002, Monterey, California, USA, August 12-14, 2002 ACM 2002
128EEGuangyu Chen, Mahmut T. Kandemir, Narayanan Vijaykrishnan, Mary Jane Irwin, Wayne Wolf: Energy savings through compression in embedded Java environments. CODES 2002: 163-168
127EEVictor Delaluz, Anand Sivasubramaniam, Mahmut T. Kandemir, Narayanan Vijaykrishnan, Mary Jane Irwin: Scheduler-based DRAM energy management. DAC 2002: 697-702
126EEJie S. Hu, Narayanan Vijaykrishnan, Mahmut T. Kandemir, Mary Jane Irwin: Power-Efficient Trace Caches. DATE 2002: 1091
125EEDavid Duarte, Narayanan Vijaykrishnan, Mary Jane Irwin: A Complete Phase-Locked Loop Power Consumption Model. DATE 2002: 1108
124EEIsmail Kadayif, Mahmut T. Kandemir, Narayanan Vijaykrishnan, Mary Jane Irwin, Anand Sivasubramaniam: EAC: A Compiler Framework for High-Level Energy Estimation and Optimization. DATE 2002: 436-442
123EESudhanva Gurumurthi, Anand Sivasubramaniam, Mary Jane Irwin, Narayanan Vijaykrishnan, Mahmut T. Kandemir, Tao Li, Lizy Kurian John: Using Complete Machine Simulation for Software Power Estimation: The SoftWatt Approach. HPCA 2002: 141-150
122EEGuangyu Chen, R. Shetty, Mahmut T. Kandemir, Narayanan Vijaykrishnan, Mary Jane Irwin, Mario Wolczko: Tuning Garbage Collection in an Embedded Java Environment. HPCA 2002: 92-
121EEDavid Duarte, Narayanan Vijaykrishnan, Mary Jane Irwin, Hyun Suk Kim, G. McFarland: Impact of Scaling on the Effectiveness of Dynamic Power Reduction Schemes. ICCD 2002: 382-387
120EELin Li, Ismail Kadayif, Yuh-Fang Tsai, Narayanan Vijaykrishnan, Mahmut T. Kandemir, Mary Jane Irwin, Anand Sivasubramaniam: Leakage Energy Management in Cache Hierarchies. IEEE PACT 2002: 131-140
119EEAnand Sivasubramaniam, Mahmut T. Kandemir, Narayanan Vijaykrishnan, Mary Jane Irwin: Designing Energy-Efficient Software. IPDPS 2002
118EEIsmail Kadayif, Mahmut T. Kandemir, Narayanan Vijaykrishnan, Mary Jane Irwin: Hardware-Software Co-Adaptation for Data-Intensive Embedded Applications. ISVLSI 2002: 20-25
117EEDavid Duarte, Narayanan Vijaykrishnan, Mary Jane Irwin: Impact of Technology Scaling in the Clock System Power. ISVLSI 2002: 59-64
116EEGuangyu Chen, Mahmut T. Kandemir, Narayanan Vijaykrishnan, Mary Jane Irwin, Mario Wolczko: Adaptive Garbage Collection for Battery-Operated Environments. Java™ Virtual Machine Research and Technology Symposium 2002: 1-12
115EEJie S. Hu, Mahmut T. Kandemir, Narayanan Vijaykrishnan, Mary Jane Irwin, Hendra Saputra, Wei Zhang: Compiler-directed cache polymorphism. LCTES-SCOPES 2002: 165-174
114EEHendra Saputra, Mahmut T. Kandemir, Narayanan Vijaykrishnan, Mary Jane Irwin, Jie S. Hu, Chung-Hsing Hsu, Ulrich Kremer: Energy-conscious compilation based on voltage scaling. LCTES-SCOPES 2002: 2-11
113EEWei Zhang, Jie S. Hu, Vijay Degalahal, Mahmut T. Kandemir, Narayanan Vijaykrishnan, Mary Jane Irwin: Compiler-directed instruction cache leakage optimization. MICRO 2002: 208-218
112EEVictor Delaluz, Mahmut T. Kandemir, Narayanan Vijaykrishnan, Mary Jane Irwin, Anand Sivasubramaniam, Ibrahim Kolcu: Compiler-Directed Array Interleaving for Reducing Energy in Multi-Bank Memories. VLSI Design 2002: 288-
111EEDavid Duarte, Yuh-Fang Tsai, Narayanan Vijaykrishnan, Mary Jane Irwin: Evaluating Run-Time Techniques for Leakage Power Reduction. VLSI Design 2002: 31-38
110EEGuangyu Chen, R. Shetty, Mahmut T. Kandemir, Narayanan Vijaykrishnan, Mary Jane Irwin, Mario Wolczko: Tuning garbage collection for reducing memory system energy in an embedded java environment. ACM Trans. Embedded Comput. Syst. 1(1): 27-55 (2002)
109EED. E. Duarte, Narayanan Vijaykrishnan, Mary Jane Irwin: A clock power model to evaluate impact of architectural and technology optimizations. IEEE Trans. VLSI Syst. 10(6): 844-855 (2002)
108EEGuangyu Chen, Mahmut T. Kandemir, Narayanan Vijaykrishnan, Mary Jane Irwin, Wayne Wolf: Using Memory Compression for Energy Reduction in an Embedded Java System. Journal of Circuits, Systems, and Computers 11(5): 537-556 (2002)
107EENing An, Sudhanva Gurumurthi, Anand Sivasubramaniam, Narayanan Vijaykrishnan, Mahmut T. Kandemir, Mary Jane Irwin: Energy-performance trade-offs for spatial access methods on memory-resident data. VLDB J. 11(3): 179-197 (2002)
2001
106 Enrico Macii, Vivek De, Mary Jane Irwin: Proceedings of the 2001 International Symposium on Low Power Electronics and Design, 2001, Huntington Beach, California, USA, 2001 ACM 2001
105EEHyun Suk Kim, Narayanan Vijaykrishnan, Mahmut T. Kandemir, Mary Jane Irwin: Energy-efficient instruction cache using page-based placement. CASES 2001: 229-237
104EEMahmut T. Kandemir, J. Ramanujam, Mary Jane Irwin, Narayanan Vijaykrishnan, Ismail Kadayif, Amisha Parikh: Dynamic Management of Scratch-Pad Memory Space. DAC 2001: 690-695
103EEVictor Delaluz, Mahmut T. Kandemir, Narayanan Vijaykrishnan, Anand Sivasubramaniam, Mary Jane Irwin: DRAM Energy Management Using Software and Hardware Directed Power Mode Control. HPCA 2001: 159-170
102 Hyun Suk Kim, Narayanan Vijaykrishnan, Mahmut T. Kandemir, Mary Jane Irwin: A Framework for Energy Estimation of VLIW Architecture. ICCD 2001: 40-45
101 Samarjeet Singh Tomar, Hyun Suk Kim, Narayanan Vijaykrishnan, Mahmut T. Kandemir, Mary Jane Irwin: Use of Local Memory for Efficient Java Execution. ICCD 2001: 468-476
100 R. Athavale, Narayanan Vijaykrishnan, Mahmut T. Kandemir, Mary Jane Irwin: Influence of Array Allocation Mechanisms on Memory System Energy. IPDPS 2001: 3
99EESoontae Kim, Narayanan Vijaykrishnan, Mahmut T. Kandemir, Anand Sivasubramaniam, Mary Jane Irwin, E. Geethanjali: Power-aware partitioned cache architectures. ISLPED 2001: 64-67
98EENarayanan Vijaykrishnan, Mahmut T. Kandemir, Soontae Kim, Samarjeet Singh Tomar, Anand Sivasubramaniam, Mary Jane Irwin: Energy Behavior of Java Applications from the Memory Perspective. Java™ Virtual Machine Research and Technology Symposium 2001: 207-220
97 Ismail Kadayif, Mahmut T. Kandemir, Narayanan Vijaykrishnan, Mary Jane Irwin, J. Ramanujam: Morphable Cache Architectures: Potential Benefits. LCTES/OM 2001: 128-137
96EEWei Zhang, Narayanan Vijaykrishnan, Mahmut T. Kandemir, Mary Jane Irwin, David Duarte, Yuh-Fang Tsai: Exploiting VLIW schedule slacks for dynamic and leakage energy reduction. MICRO 2001: 102-113
95EEPradeep K. Khosla, Herman Schmit, Mary Jane Irwin, Narayanan Vijaykrishnan, Tom Cain, Steven P. Levitan, Dave Landis: SoC Design Skills: Collaboration Builds a Stronger SoC Design Team. MSE 2001: 42-43
94EEIsmail Kadayif, T. Chinoda, Mahmut T. Kandemir, Narayanan Vijaykrishnan, Mary Jane Irwin, Anand Sivasubramaniam: vEC: virtual energy counters. PASTE 2001: 28-31
93EENing An, Anand Sivasubramaniam, Narayanan Vijaykrishnan, Mahmut T. Kandemir, Mary Jane Irwin, Sudhanva Gurumurthi: Analyzing energy behavior of spatial access methods for memory-resident data. VLDB 2001: 411-420
92EEDavid Duarte, Narayanan Vijaykrishnan, Mary Jane Irwin, Mahmut T. Kandemir: Formulation and Validation of an Energy Dissipation Model for the Clock Generation Circuitry and Distribution Networks. VLSI Design 2001: 248-253
91EERita Yu Chen, Mary Jane Irwin, Raminder Singh Bajwa: Architecture-level power estimation and design experiments. ACM Trans. Design Autom. Electr. Syst. 6(1): 50-66 (2001)
90EEVictor Delaluz, Mahmut T. Kandemir, Narayanan Vijaykrishnan, Anand Sivasubramaniam, Mary Jane Irwin: Hardware and Software Techniques for Controlling DRAM Power Modes. IEEE Trans. Computers 50(11): 1154-1173 (2001)
89EEBenjamin Bishop, V. Lyuboslavsky, Narayanan Vijaykrishnan, Mary Jane Irwin: Design considerations for databus charge recovery. IEEE Trans. VLSI Syst. 9(1): 104-106 (2001)
88EEMahmut T. Kandemir, Narayanan Vijaykrishnan, Mary Jane Irwin, Wu Ye: Influence of compiler optimizations on system power. IEEE Trans. VLSI Syst. 9(6): 801-804 (2001)
2000
87EEJeyran Hezavei, Narayanan Vijaykrishnan, Mary Jane Irwin: A comparative study of power efficient SRAM designs. ACM Great Lakes Symposium on VLSI 2000: 117-122
86EEBenjamin Bishop, Thomas P. Kelliher, Mary Jane Irwin: SPARTA: Simulation of Physics on a Real-Time Architecture. ACM Great Lakes Symposium on VLSI 2000: 177-182
85EEVictor Delaluz, Mahmut T. Kandemir, Narayanan Vijaykrishnan, Mary Jane Irwin: Energy-oriented compiler optimizations for partitioned memory architectures. CASES 2000: 138-147
84EEMahmut T. Kandemir, Narayanan Vijaykrishnan, Mary Jane Irwin, Wu Ye: Influence of compiler optimizations on system power. DAC 2000: 304-307
83EEWu Ye, Narayanan Vijaykrishnan, Mahmut T. Kandemir, Mary Jane Irwin: The design and use of simplepower: a cycle-accurate energy estimation tool. DAC 2000: 340-345
82EEAmisha Parikh, Mahmut T. Kandemir, Narayanan Vijaykrishnan, Mary Jane Irwin: Energy-Aware Instruction Scheduling. HiPC 2000: 335-344
81 Benjamin Bishop, Thomas P. Kelliher, Mary Jane Irwin: Hardware/Software Co-design for Real-Time Physical Modeling. IEEE International Conference on Multimedia and Expo (III) 2000: 1363-1366
80EENarayanan Vijaykrishnan, Mahmut T. Kandemir, Mary Jane Irwin, Hyun Suk Kim, Wu Ye: Energy-driven integrated hardware-software optimizations using SimplePower. ISCA 2000: 95-106
79EEG. Esakkimuthu, Narayanan Vijaykrishnan, Mahmut T. Kandemir, Mary Jane Irwin: Memory system energy (poster session): influence of hardware-software optimizations. ISLPED 2000: 244-246
78EEMahmut T. Kandemir, Narayanan Vijaykrishnan, Mary Jane Irwin, Hyun Suk Kim: Experimental Evaluation of Energy Behavior of Iteration Space Tiling. LCPC 2000: 142-157
77EEMahmut T. Kandemir, Narayanan Vijaykrishnan, Mary Jane Irwin, Hyun Suk Kim: Towards Energy-Aware Iteration Space Tiling. LCTES 2000: 211-215
76EEMary Jane Irwin, Mahmut T. Kandemir, Narayanan Vijaykrishnan, Anand Sivasubramaniam: A Holistic Approach to System Level Energy Optimization. PATMOS 2000: 88-107
75EEMary Jane Irwin: Editorial. ACM Trans. Design Autom. Electr. Syst. 5(3): 265-266 (2000)
74EEGayles Gayles, Thomas P. Kelliher, Robert Michael Owens, Mary Jane Irwin: The design of the MGAP-2: a micro-grained massively parallel array. IEEE Trans. VLSI Syst. 8(6): 709-716 (2000)
1999
73EEBenjamin Bishop, Thomas P. Kelliher, Mary Jane Irwin: The Design of a Register Renaming Unit. Great Lakes Symposium on VLSI 1999: 34-37
72EEBenjamin Bishop, Mary Jane Irwin: Databus charge recovery: practical considerations. ISLPED 1999: 85-87
71EEManjit Borah, Robert Michael Owens, Mary Jane Irwin: A Fast and Simple Steiner Routing Heuristic. Discrete Applied Mathematics 90(1-3): 51-67 (1999)
70EEBenjamin Bishop, Thomas P. Kelliher, Robert Michael Owens, Mary Jane Irwin: Aggressive Dynamic Execution of Decoded Traces. VLSI Signal Processing 22(1): 65-75 (1999)
1998
69EERita Yu Chen, Robert Michael Owens, Mary Jane Irwin, Raminder Singh Bajwa: Validation of an Architectural Level Power Analysis Technique. DAC 1998: 242-245
68EEBenjamin Bishop, Robert Michael Owens, Mary Jane Irwin: Aggressive Dynamic Execution of Multimedia Kernel Traces. IPPS/SPDP 1998: 640-646
67EEJohn R. Sacha, Mary Jane Irwin: The logarithmic number system for strength reduction in adaptive filtering. ISLPED 1998: 256-261
66EEMary Jane Irwin, S. Y. Kung, Earl E. Swartzlander Jr.: Editorial Message. VLSI Signal Processing 18(1): 7-8 (1998)
65EEKevin P. Acken, Mary Jane Irwin, Robert Michael Owens: A Parallel ASIC Architecture for Efficient Fractal Image Coding. VLSI Signal Processing 19(2): 97-113 (1998)
1997
64EEKevin P. Acken, Eric Gayles, Thomas P. Kelliher, Robert Michael Owens, Mary Jane Irwin: The MGAP Family of Processor Arrays. Great Lakes Symposium on VLSI 1997: 105-
63EEEric Gayles, Kevin P. Acken, Robert Michael Owens, Mary Jane Irwin: A Clocked, Static Circuit Technique for Building Efficient High Frequency Pipelines. Great Lakes Symposium on VLSI 1997: 182-
62EEAtul Kalambur, Mary Jane Irwin: An extended addressing mode for low power. ISLPED 1997: 208-213
61EEHuzefa Mehta, Robert Michael Owens, Mary Jane Irwin, Rita Yu Chen, Debashree Ghosh: Techniques for low energy software. ISLPED 1997: 72-75
60EEHuzefa Mehta, Robert Michael Owens, Mary Jane Irwin: A Simulation Methodology for Software Energy Evaluation. VLSI Design 1997: 509-510
59EEManjit Borah, Robert Michael Owens, Mary Jane Irwin: A fast algorithm for minimizing the Elmore delay to identified critical sinks. IEEE Trans. on CAD of Integrated Circuits and Systems 16(7): 753-759 (1997)
58EEHeung-Nam Kim, Mary Jane Irwin, Robert Michael Owens: Motion Analysis on the Micro Grained Array Processor. Real-Time Imaging 3(2): 101-110 (1997)
1996
57EEKevin P. Acken, Heung-Nam Kim, Mary Jane Irwin, Robert Michael Owens: An Architectural Design For Parallel Fractal Compression. ASAP 1996: 3-11
56EEKevin P. Acken, Mary Jane Irwin, Robert Michael Owens, Amulya K. Garga: Architectural Optimizations For A Floating Point Multiply-Accumulate Unit In A Graphics Pipeline. ASAP 1996: 65-71
55EEHuzefa Mehta, Robert Michael Owens, Mary Jane Irwin: Energy Characterization based on Clustering. DAC 1996: 702-707
54EEManjit Borah, Robert Michael Owens, Mary Jane Irwin: Recent Developments in Performance Driven Steiner Routing: An Overview. Great Lakes Symposium on VLSI 1996: 137-142
53EEHuzefa Mehta, Robert Michael Owens, Mary Jane Irwin: Some Issues in Gray Code Addressing. Great Lakes Symposium on VLSI 1996: 178-181
52EEKevin P. Acken, Mary Jane Irwin, Robert Michael Owens: Power comparisons for barrel shifters. ISLPED 1996: 209-212
51EEChetana Nagendra, Robert Michael Owens, Mary Jane Irwin: Design tradeoffs in high speed multipliers and FIR filters. VLSI Design 1996: 29-32
50EEManjit Borah, Robert Michael Owens, Mary Jane Irwin: Transistor sizing for low power CMOS circuits. IEEE Trans. on CAD of Integrated Circuits and Systems 15(6): 665-671 (1996)
49EEManjit Borah, Chetana Nagendra, Mohan Vishwanath, Robert Michael Owens, Mary Jane Irwin: An optimal time multiplication free algorithm for edge detection on a mesh. VLSI Signal Processing 13(1): 67-75 (1996)
1995
48EERaminder Singh Bajwa, Robert Michael Owens, Mary Jane Irwin: The MGAP's programming environment and the *C++ language. ASAP 1995: 121-124
47EEHeung-Nam Kim, Mary Jane Irwin, Robert Michael Owens: Motion Estimation Algorithms on Fine Grain Array Processor. ASAP 1995: 204-213
46EEHuzefa Mehta, Manjit Borah, Robert Michael Owens, Mary Jane Irwin: Accurate Estimation of Combinational Circuit Activity. DAC 1995: 618-622
45EEManjit Borah, Robert Michael Owens, Mary Jane Irwin: Fast algorithm for performance-oriented Steiner routing. Great Lakes Symposium on VLSI 1995: 198-203
44EERobert Michael Owens, Raminder Singh Bajwa, Mary Jane Irwin: Reducing the number of counters needed for integer multiplication. IEEE Symposium on Computer Arithmetic 1995: 38-41
43EEManjit Borah, Robert Michael Owens, Mary Jane Irwin: High-throughput and low-power DSP using clocked-CMOS circuitry. ISLPD 1995: 139-144
42EEChetana Nagendra, Robert Michael Owens, Mary Jane Irwin: Unifying carry-sum and signed-digital number representations for low power. ISLPD 1995: 15-20
41EEManjit Borah, Robert Michael Owens, Mary Jane Irwin: Transistor sizing for minimizing power consumption of CMOS circuits under delay constraint. ISLPD 1995: 167-172
40EEManjit Borah, Mary Jane Irwin, Robert Michael Owens: Minimizing power consumption of static CMOS circuits by transistor sizing and input reordering. VLSI Design 1995: 294-298
39EEChetana Nagendra, Robert Michael Owens, Mary Jane Irwin: Digit pipelined arithmetic on fine-grain array processors. VLSI Signal Processing 9(3): 193-209 (1995)
1994
38 Manjit Borah, Chetana Nagendra, Robert Michael Owens, Mary Jane Irwin: The MGAP: A High Performance, User Programmable, Multifunctional Architecture for DS. HICSS (1) 1994: 96-104
37 Heung-Nam Kim, Mary Jane Irwin, Robert Michael Owens, Chen-Mi Wu: Dynamic Space Warping Algorithms on Fine-Graln Array Processors. IPPS 1994: 921-925
36 Raminder Singh Bajwa, Robert Michael Owens, Mary Jane Irwin: Area Time Trade-Offs in Micro-Grain VLSI Array Architectures. IEEE Trans. Computers 43(10): 1121-1128 (1994)
35 Gueesang Lee, Mary Jane Irwin, Robert Michael Owens: Polynomial Time Testability of Circuits Generated by Input Decomposition. IEEE Trans. Computers 43(2): 201-210 (1994)
34EEChetana Nagendra, Robert Michael Owens, Mary Jane Irwin: Power-delay characteristics of CMOS adders. IEEE Trans. VLSI Syst. 2(3): 377-381 (1994)
33EETingTing Hwang, Robert Michael Owens, Mary Jane Irwin, Kuo-Hua Wang: Logic synthesis for field-programmable gate arrays. IEEE Trans. on CAD of Integrated Circuits and Systems 13(10): 1280-1287 (1994)
32EEManjit Borah, Robert Michael Owens, Mary Jane Irwin: An edge-based heuristic for Steiner routing. IEEE Trans. on CAD of Integrated Circuits and Systems 13(12): 1563-1568 (1994)
1993
31 Earl E. Swartzlander Jr., Mary Jane Irwin, Graham A. Jullien: 11th Symposium on Computer Arithmetic, 29 June - 2 July 1993, Windsor, Canada, Proceedings. IEEE Computer Society/ 1993
30 Raminder Singh Bajwa, Robert Michael Owens, Mary Jane Irwin: Image Processing with the MGAP: A Cost Effective Solution. IPPS 1993: 439-443
29 Raminder Singh Bajwa, Robert Michael Owens, Mary Jane Irwin: A Massively Parallel, Micro-Grained VLSI Architecture. VLSI Design 1993: 250-255
28EERobert Michael Owens, Thomas P. Kelliher, Mary Jane Irwin, Mohan Vishwanath, Raminder Singh Bajwa, W.-L. Yang: The design and implementation of the Arithmetic Cube II, a VLSI signal processing system. IEEE Trans. VLSI Syst. 1(4): 491-502 (1993)
1992
27EESoohong Kim, Robert Michael Owens, Mary Jane Irwin: Experiments with a Performance Driven Module Generator. DAC 1992: 687-690
26 Thomas P. Kelliher, Robert Michael Owens, Mary Jane Irwin, TingTing Hwang: ELM-A Fast Addition Algorithm Discovered by a Program. IEEE Trans. Computers 41(9): 1181-1184 (1992)
25EETingTing Hwang, Robert Michael Owens, Mary Jane Irwin: Efficiently computing communication complexity for multilevel logic synthesis. IEEE Trans. on CAD of Integrated Circuits and Systems 11(5): 545-554 (1992)
1991
24 Mary Jane Irwin, Robert Michael Owens: A Two-Dimensional, Distributed Logic Architecture. IEEE Trans. Computers 40(10): 1094-1101 (1991)
23 Poras T. Balsara, Robert Michael Owens, Mary Jane Irwin: Digit Serial Multipliers. J. Parallel Distrib. Comput. 11(2): 156-162 (1991)
22EEPoras T. Balsara, Mary Jane Irwin: Image processing on a memory array architecture. VLSI Signal Processing 2(4): 313-324 (1991)
1990
21 Robert Michael Owens, Mary Jane Irwin: Being Stingy with Multipliers. IEEE Trans. Computers 39(6): 809-818 (1990)
20EETingTing Hwang, Robert Michael Owens, Mary Jane Irwin: Exploiting communication complexity for multilevel logic synthesis. IEEE Trans. on CAD of Integrated Circuits and Systems 9(10): 1017-1027 (1990)
19EEMary Jane Irwin, Robert Michael Owens: A case for digit serial VLSI signal processors. VLSI Signal Processing 1(4): 321-334 (1990)
1989
18EETingTing Hwang, Robert Michael Owens, Mary Jane Irwin: Multi-Level Logic Synthesis Using Communication Complexity. DAC 1989: 215-220
17EEMary Jane Irwin, Robert Michael Owens: A Comparison of Four Two-dimensional Gate Matrix Layout Tools. DAC 1989: 698-701
16 Tsang-Ling Sheu, Woei Lin, Chita R. Das, Mary Jane Irwin: Distributed Fault Diagnosis in the Butterfly Parallel Processor. ICPP (1) 1989: 172-175
1988
15EEPao-Po Hou, Robert Michael Owens, Mary Jane Irwin: DECOMPOSER: A Synthesizer for Systolic Systems. DAC 1988: 650-653
14 Mary Jane Irwin: Special Issue on Parallelism in Computer Arithmetic. J. Parallel Distrib. Comput. 5(3): 205-208 (1988)
1987
13EEJ. A. Beekman, Robert Michael Owens, Mary Jane Irwin: Mesh Arrays and LOGICIAN: A Tool for Their Efficient Generation. DAC 1987: 357-362
12EERobert Michael Owens, Mary Jane Irwin: An Overview of the Penn State Design System. DAC 1987: 516-522
11 Mary Jane Irwin, Robert Michael Owens: Digit-Pipelined Arithmetic as Illustrated By the Paste-Up System: A Tutorial. IEEE Computer 20(4): 61-73 (1987)
10 Robert Michael Owens, Mary Jane Irwin: The Arithmetic Cube. IEEE Trans. Computers 36(11): 1342-1348 (1987)
9EEDouglas S. Reeves, Mary Jane Irwin: Fast Methods for Switch-Level Verification of MOS Circuits. IEEE Trans. on CAD of Integrated Circuits and Systems 6(5): 766-779 (1987)
1986
8EERobert Michael Owens, Mary Jane Irwin: A System for Designing, Simulating, and Testing High Performance VLSI Signal Processors. IEEE Trans. on CAD of Integrated Circuits and Systems 5(3): 420-428 (1986)
7 Tin-Fook Ngai, Mary Jane Irwin, Shishpal Rawat: Regular Area-Time Efficient Carry-Lookahead Adders. J. Parallel Distrib. Comput. 3(1): 92-105 (1986)
1983
6 Mary Jane Irwin, Robert Michael Owens: Fully Digit On-Line Networks. IEEE Trans. Computers 32(4): 402-406 (1983)
1982
5 Bryan Gerard Mackay, Mary Jane Irwin: A digit online arithmetic simulator. ICPP 1982: 304-306
1980
4 Mary Jane Irwin, Don Heller: Online Pipeline Systems for Recursive Numeric Computations. ISCA 1980: 292-299
1979
3 Robert Michael Owens, Mary Jane Irwin: On-Line Algorithms for the Design of Pipeline Architectures. ISCA 1979: 12-19
1978
2EEMary Jane Irwin: Reconfigurable Pipeline Systems. ACM Annual Conference (1) 1978: 86-92
1 Mary Jane Irwin: A Pipelined Processing Unit for On-Line Division. ISCA 1978: 24-30

Coauthor Index

1Kevin P. Acken [52] [56] [57] [63] [64] [65]
2Charles Addo-Quaye [172] [204]
3Sayaka Akioka [230] [249] [255] [265]
4Ning An [93] [107] [145]
5R. Athavale [100]
6Todd M. Austin [133]
7Raminder Singh Bajwa [28] [29] [30] [36] [44] [48] [69] [91]
8Poras T. Balsara [22] [23]
9J. A. Beekman [13]
10Luca Benini [195]
11Piotr Berman [205]
12Benjamin Bishop [68] [70] [72] [73] [81] [86] [89]
13David Blaauw (David T. Blaauw) [133]
14Ronald F. Boisvert [222] [244]
15Manjit Borah [32] [38] [40] [41] [43] [45] [46] [49] [50] [54] [59] [71]
16Koen De Bosschere (Koenraad De Bosschere) [241]
17Erik Brockmeyer [142]
18Richard R. Brooks [150] [165] [167] [191]
19Tom Cain [95]
20Francky Catthoor [142]
21Rajarathnam Chandramouli [144] [161]
22G. Chen [216] [217]
23Guangyu Chen [108] [110] [116] [122] [128] [136] [152] [161] [165] [169] [183] [193] [202] [215] [228] [238]
24Guilin Chen [144] [154] [156] [174] [184] [198] [223] [240]
25Rita Yu Chen [61] [69] [91]
26T. Chinoda [94]
27S. Conner [227] [255]
28Bryan Cover [264]
29Chita R. Das [16] [140] [194]
30Vivek De [106] [129] [149]
31Victor M. DeLaLuz (Victor De La Luz, Victor Delaluz) [85] [90] [103] [112] [127] [146] [179]
32M. DeRenzo [162]
33Vijay Degalahal [113] [135] [158] [168] [170] [192] [211]
34I. Demirkiran [183]
35Yang Ding [263] [271]
36D. E. Duarte [109] [160]
37David Duarte [92] [96] [111] [117] [121] [125] [131] [151] [224]
38G. Esakkimuthu [79]
39Krisztián Flautner [133]
40Hubertus Franke [139]
41Haoying Fu [205]
42Amulya K. Garga [56]
43Aman Gayasen [175] [177] [178] [213]
44Eric Gayles [63] [64]
45Gayles Gayles [74]
46E. Geethanjali [99]
47Frank Ghenassia [173]
48Debashree Ghosh [61]
49Sudhanva Gurumurthi [93] [107] [123] [139] [145]
50Ananth Hegde [153]
51Don Heller [4]
52Jeyran Hezavei [87]
53Pao-Po Hou [15]
54Chung-Hsing Hsu [114]
55J. Hu [197]
56Jie S. Hu [113] [114] [115] [126] [133] [138] [141] [158] [176] [181] [211]
57Wei-Lun Hung [172] [204] [209] [232] [246] [248]
58TingTing Hwang [18] [20] [25] [26] [33]
59Kevin M. Irick [200] [239]
60Lizy Kurian John (Lizy K. John) [123] [143]
61Graham A. Jullien [31]
62Ismail Kadayif [94] [97] [104] [118] [120] [124] [130] [148] [159] [190] [198]
63Atul Kalambur [62]
64Mahmut T. Kandemir [76] [77] [78] [79] [80] [82] [83] [84] [85] [88] [90] [92] [93] [94] [96] [97] [98] [99] [100] [101] [102] [103] [104] [105] [107] [108] [110] [112] [113] [114] [115] [116] [118] [119] [120] [122] [123] [124] [126] [127] [128] [130] [131] [133] [134] [136] [137] [138] [139] [140] [141] [142] [144] [145] [146] [147] [148] [149] [150] [152] [153] [154] [155] [156] [157] [158] [159] [161] [165] [167] [169] [170] [171] [174] [175] [177] [178] [179] [180] [181] [182] [183] [184] [185] [187] [190] [191] [192] [193] [194] [197] [198] [202] [206] [209] [211] [212] [214] [215] [216] [217] [219] [223] [224] [228] [229] [234] [236] [238] [240] [242] [246] [249] [253] [259] [260] [262] [263] [264] [265] [266] [268] [270] [271]
65Byung-Tae Kang [144] [161]
66Mustafa Karaköy [216] [266]
67Osama Awadel Karim [269]
68Thomas P. Kelliher [26] [28] [64] [70] [73] [74] [81] [86]
69Pradeep K. Khosla [95]
70Eun Jung Kim [140] [194]
71Heung-Nam Kim [37] [47] [57] [58]
72Hyun Suk Kim [77] [78] [80] [101] [102] [105] [121] [131] [137] [142]
73J. S. Kim [225]
74Nam Sung Kim [133]
75Soohong Kim [27]
76Soontae Kim [98] [99] [134] [143] [150] [157] [181] [243]
77Ibrahim Kolcu [112] [174] [180] [187] [193] [238]
78Ulrich Kremer [114]
79S. Y. Kung [66]
80Dave Landis [95]
81Luciano Lavagno [188]
82Gueesang Lee [35]
83Ingyu Lee [233] [235]
84J. Lee [164] [203]
85Jooheung Lee [220] [221]
86K. Lee [177]
87Steven P. Levitan [95]
88Feihui Li [211] [215] [228] [229] [238] [249] [259] [260] [265]
89Lin Li [120] [130] [147] [148] [170] [182] [185] [192] [242]
90Tao Li [123]
91Woei Lin [16]
92Greg M. Link [140] [163] [166] [167] [194] [196] [201] [227] [232] [254]
93Chun Liu [206] [234]
94V. Lyuboslavsky [89]
95Enrico Macii [106]
96Bryan Gerard Mackay [5]
97Scott A. Mahlke [188]
98Konrad Malkowski [226] [230] [233] [235] [253] [254] [256] [262] [265]
99Prasanth Mangalagiri [258] [269]
100Bernd Mathiske [136]
101G. McFarland [121]
102Lois C. McInnes [207] [230]
103Huzefa Mehta [46] [53] [55] [60] [61]
104Gokhan Memik [217]
105Giovanni De Micheli [195]
106Trevor N. Mudge [133]
107Amol Mupid [252]
108Srinivasan Murali [195]
109Madhu Mutyam [229] [250] [252]
110A. Nadgir [141]
111Chetana Nagendra [34] [38] [39] [42] [49] [51]
112Sri Hari Krishna Narayanan [270]
113Tin-Fook Ngai [7]
114Chrysostomos Nicopoulos [267]
115Boyana Norris [207] [230]
116Robert Michael Owens [3] [6] [8] [10] [11] [12] [13] [15] [17] [18] [19] [20] [21] [23] [24] [25] [26] [27] [28] [29] [30] [32] [33] [34] [35] [36] [37] [38] [39] [40] [41] [42] [43] [44] [45] [46] [47] [48] [49] [50] [51] [52] [53] [54] [55] [56] [57] [58] [59] [60] [61] [63] [64] [65] [68] [69] [70] [71] [74]
117Ozcan Ozturk [180] [183] [187] [212] [214] [216] [219] [236]
118Amisha Parikh [82] [104] [157] [159]
119Christian Piguet [129]
120Matthew Pirretti [167]
121Thomas F. La Porta (Tom La Porta) [205]
122Padma Raghavan [207] [226] [227] [230] [233] [235] [249] [253] [254] [255] [256] [262] [263] [264] [265] [271]
123R. Rajaraman [225] [251] [261]
124Krishnan Ramakrishnan [247] [251] [258] [261]
125R. Ramanarayanan [168]
126J. Ramanujam [97] [104] [154] [159] [223]
127Shishpal Rawat [7]
128Douglas S. Reeves [9]
129Andrew J. Ricketts [239] [250]
130Holly E. Rushmeier [244]
131John R. Sacha [67]
132Hendra Saputra [114] [115] [150] [156] [165]
133Karthik Sarpatwari [258] [269]
134Herman Schmit [95] [166]
135R. Shetty [110] [122]
136Tsang-Ling Sheu [16]
137Anand Sivasubramaniam [76] [90] [93] [94] [98] [99] [103] [107] [112] [119] [120] [123] [124] [127] [130] [134] [139] [145] [146] [155] [179] [184] [198] [206] [234] [267]
138Mary Lou Soffa [272]
139Seung Woo Son [260]
140Niranjan Soundararajan [267]
141Shekhar Srikantaiah [266] [268]
142Suresh Srinivasan [175] [258]
143S. Suresh [247] [251]
144Eric J. Swankoski [166] [171] [191]
145Earl E. Swartzlander Jr. [31] [66]
146Theo Theocharides [163] [166] [172] [195] [201] [204] [231]
147Theocharis Theocharides [196]
148S. Tobita [227]
149Samarjeet Singh Tomar [98] [101]
150Suleyman Tosun [236]
151Yuh-Fang Tsai [96] [111] [120] [130] [151] [160] [178] [199] [208] [210] [224] [245] [257]
152Tim Tuan [177] [178]
153K. Unlu [261]
154Balaji Vaidyanathan [248]
155Ingrid Verbauwhede [129]
156Narayanan Vijaykrishnan (Vijaykrishnan Narayanan) [76] [77] [78] [79] [80] [82] [83] [84] [85] [87] [88] [89] [90] [92] [93] [94] [95] [96] [97] [98] [99] [100] [101] [102] [103] [104] [105] [107] [108] [109] [110] [111] [112] [113] [114] [115] [116] [117] [118] [119] [120] [121] [122] [123] [124] [125] [126] [127] [128] [130] [131] [133] [134] [135] [136] [137] [138] [139] [140] [141] [142] [143] [144] [145] [146] [147] [148] [149] [150] [151] [152] [153] [157] [158] [159] [160] [161] [162] [163] [164] [165] [166] [167] [168] [169] [170] [171] [172] [173] [175] [176] [177] [178] [181] [182] [184] [185] [186] [189] [190] [191] [192] [194] [195] [196] [197] [198] [199] [200] [201] [203] [204] [208] [209] [210] [211] [213] [218] [220] [221] [224] [225] [229] [231] [232] [237] [239] [240] [242] [243] [245] [246] [247] [248] [250] [251] [252] [257] [258] [261] [267] [269] [270]
157Mohan Vishwanath [28] [49]
158Guiling Wang [205]
159Kuo-Hua Wang [33]
160Feng Wang [237] [248] [257]
161Mario Wolczko [110] [116] [122] [136] [152]
162Wayne Wolf [108] [128] [163] [164] [221]
163Chen-Mi Wu [37]
164Yuan Xie [168] [172] [175] [185] [186] [199] [204] [208] [209] [210] [218] [225] [232] [237] [242] [245] [246] [248] [251] [252] [257] [258] [261] [269]
165Wei Xu [186] [200]
166Aditya Yanamandra [264] [267] [269] [270]
167W.-L. Yang [28]
168Wu Ye [80] [83] [84] [88] [131]
169Taylan Yemliha [266]
170Mazin S. Yousif [140]
171Ki Hwan Yum [140] [194]
172Jianyong Zhang [139]
173Wei Zhang [96] [113] [115] [149] [150] [155] [158] [224]
174Wei Zhao [188]

Colors in the list of coauthors

Copyright © Sun May 17 03:24:02 2009 by Michael Ley (ley@uni-trier.de)