45. DAC 2008:
Anaheim,
CA,
USA
Limor Fix (Ed.):
Proceedings of the 45th Design Automation Conference, DAC 2008, Anaheim, CA, USA, June 8-13, 2008.
ACM 2008, ISBN 978-1-60558-115-6 BibTeX
iDesign I
Special session:
enabling concurrency in EDA
CAD for FPGA
Analog performance modeling and synthesis
Novel techniques in embedded processor design
Panel
Special session:
student design contest
- Yu-Kun Lin, De-Wei Li, Chia-Chun Lin, Tzu-Yun Kuo, Sian-Jin Wu, Wei-Cheng Tai, Wei-Cheng Chang, Tian-Sheuan Chang:
A 242mW, 10mm21080p H.264/AVC high profile encoder chip.
78-83
Electronic Edition (ACM DL) BibTeX
- Taeg Sang Cho, Kyeong-jae Lee, Jing Kong, Anantha P. Chandrakasan:
The design of a low power carbon nanotube chemical sensor system.
84-89
Electronic Edition (ACM DL) BibTeX
- Chih-Chi Cheng, Chia-Hua Lin, Chung-Te Li, Samuel C. Chang, Liang-Gee Chen:
iVisual: an intelligent visual sensor SoC with 2790fps CMOS image sensor and 205GOPS/W vision processor.
90-95
Electronic Edition (ACM DL) BibTeX
- Donghyun Kim, Kwanho Kim, Joo-Young Kim, Seungjin Lee, Hoi-Jun Yoo:
Vision platform for mobile intelligent robot based on 81.6 GOPS object recognition processor.
96-101
Electronic Edition (ACM DL) BibTeX
- Nathaniel Ross Pinckney, Thomas Barr, Michael Dayringer, Matthew McKnett, Nan Jiang, Carl Nygaard, David Money Harris, Joel Stanley, Braden Phillips:
A MIPS R2000 implementation.
102-107
Electronic Edition (ACM DL) BibTeX
- Jaydeep P. Kulkarni, Keejong Kim, Sang Phill Park, Kaushik Roy:
Process variation tolerant SRAM array for ultra low voltage applications.
108-113
Electronic Edition (ACM DL) BibTeX
- Yuen-Hui Chee, Mike Koplow, Michael Mark, Nathan Pletcher, Mike Seeman, Fred Burghardt, Dan Steingart, Jan M. Rabaey, Paul K. Wright, Seth Sanders:
PicoCube: a 1 cm3 sensor node powered by harvested energy.
114-119
Electronic Edition (ACM DL) BibTeX
- Sumanta Chaudhuri, Sylvain Guilley, Florent Flament, Philippe Hoogvorst, Jean-Luc Danger:
An 8x8 run-time reconfigurable FPGA embedded in a SoC.
120-125
Electronic Edition (ACM DL) BibTeX
Panel
Panel
Formal verification technology
Layout techniques for modern chip designs
Application mapping and power efficiency
- Zhen Cao, Brian Foo, Lei He, Mihaela van der Schaar:
Optimality and improvement of dynamic voltage scaling algorithms for multimedia applications.
179-184
Electronic Edition (ACM DL) BibTeX
- Ranjani Sridharan, Nikhil Gupta, Rabi N. Mahapatra:
Feedback-controlled reliability-aware power management for real-time embedded systems.
185-190
Electronic Edition (ACM DL) BibTeX
- Michel Goraczko, Jie Liu, Dimitrios Lymberopoulos, Slobodan Matic, Bodhi Priyantha, Feng Zhao:
Energy-optimal software partitioning in heterogeneous multiprocessor embedded systems.
191-196
Electronic Edition (ACM DL) BibTeX
- Ya-shuai Lü, Li Shen, Libo Huang, Zhiying Wang, Nong Xiao:
Customizing computation accelerators for extensible multi-issue processors with effective optimization techniques.
197-200
Electronic Edition (ACM DL) BibTeX
- Rogier Baert, Eddy de Greef, Erik Brockmeyer:
An automatic scratch pad memory management tool and MPEG-4 encoder case study.
201-204
Electronic Edition (ACM DL) BibTeX
Variation-aware design
- Mohamed H. Abu-Rahma, Kinshuk Chowdhury, Joseph Wang, Zhiqin Chen, Sei Seung Yoon, Mohab Anis:
A methodology for statistical estimation of read access yield in SRAMs.
205-210
Electronic Edition (ACM DL) BibTeX
- Jieyi Long, Seda Ogrenci Memik:
Automated design of self-adjusting pipelines.
211-216
Electronic Edition (ACM DL) BibTeX
- Pouria Bastani, Kip Killpack, Li-C. Wang, Eli Chiprout:
Speedpath prediction based on learning from a small set of examples.
217-222
Electronic Edition (ACM DL) BibTeX
- Yi Wang, Wai-Shing Luk, Xuan Zeng, Jun Tao, Changhao Yan, Jiarong Tong, Wei Cai, Jia Ni:
Timing yield driven clock skew scheduling considering non-Gaussian distributions of critical path delays.
223-226
Electronic Edition (ACM DL) BibTeX
- Amit Goel, Sarma B. K. Vrudhula:
Statistical waveform and current source based standard cell models for accurate timing analysis.
227-230
Electronic Edition (ACM DL) BibTeX
iDesign II
Multi-core simulation,
mixed-signal power optimization and nanodevices
Experiences and advances in formal and dynamic verification
Emerging nano/biotechnologies
Cache optimization and embedded systems modeling
- Jürgen Schnerr, Oliver Bringmann, Alexander Viehl, Wolfgang Rosenstiel:
High-performance timing simulation of embedded software.
290-295
Electronic Edition (ACM DL) BibTeX
- Swarup Mohalik, A. C. Rajeev, Manoj G. Dixit, S. Ramesh, P. Vijay Suman, Paritosh K. Pandya, Shengbing Jiang:
Model checking based analysis of end-to-end latency in embedded, real-time systems with clock drifts.
296-299
Electronic Edition (ACM DL) BibTeX
- Vivy Suhendra, Tulika Mitra:
Exploring locking & partitioning for predictable shared caches on multi-cores.
300-303
Electronic Edition (ACM DL) BibTeX
- Garo Bournoutian, Alex Orailoglu:
Miss reduction in embedded processors through dynamic, power-friendly cache design.
304-309
Electronic Edition (ACM DL) BibTeX
Panel
Analytical modeling and simulation of complex processing systems
Special session:
wild and crazy ideas
- Jay B. Brockman, Sheng Li, Peter M. Kogge, Amit Kashyap, Mohammad Mojarradi:
Design of a mask-programmable memory/multiplier array using G4-FET technology.
337-338
Electronic Edition (ACM DL) BibTeX
- M. Haykel Ben Jamaa, David Atienza, Yusuf Leblebici, Giovanni De Micheli:
Programmable logic circuits based on ambipolar CNFET.
339-340
Electronic Edition (ACM DL) BibTeX
- Daeik D. Kim, Choongyeun Cho, Jonghae Kim:
Analog parallelism in ring-based VCOs.
341-342
Electronic Edition (ACM DL) BibTeX
- Claudio Favi, Edoardo Charbon:
Techniques for fully integrated intra-/inter-chip optical communication.
343-344
Electronic Edition (ACM DL) BibTeX
- Min Li, Bruno Bougard, David Novo, Liesbet Van der Perre, Francky Catthoor:
How to let instruction set processor beat ASIC for low power wireless baseband implementation: a system level approach.
345-346
Electronic Edition (ACM DL) BibTeX
- Puneet Gupta, Andrew B. Kahng:
Bounded-lifetime integrated circuits.
347-348
Electronic Edition (ACM DL) BibTeX
- Seetharam Narasimhan, Somnath Paul, Swarup Bhunia:
Collective computing based on swarm intelligence.
349-350
Electronic Edition (ACM DL) BibTeX
- Miodrag Potkonjak, Farinaz Koushanfar:
(Bio)-behavioral CAD.
351-352
Electronic Edition (ACM DL) BibTeX
Panel
Diagnosis and debug
Architectural and precision optimization in high-level synthesis
Extraction,
interconnect and timing
- Khaled R. Heloue, Farid N. Najm:
Parameterized timing analysis with general delay models and arbitrary variation sources.
403-408
Electronic Edition (ACM DL) BibTeX
- Boyuan Yan, Lingfei Zhou, Sheldon X.-D. Tan, Jie Chen, Bruce McGaughy:
DeMOR: decentralized model order reduction of linear networks with massive ports.
409-414
Electronic Edition (ACM DL) BibTeX
- Tarek Moselhy, Luca Daniel:
Stochastic integral equation solver for efficient variation-aware interconnect extraction.
415-420
Electronic Edition (ACM DL) BibTeX
- Ki Jin Han, Madhavan Swaminathan, Ege Engin:
Electric field integral equation combined with cylindrical conduction mode basis functions for electrical modeling of three-dimensional interconnects.
421-424
Electronic Edition (ACM DL) BibTeX
- Peter Feldmann, Soroush Abbaspour, Debjit Sinha, Gregory Schaeffer, Revanta Banerji, Hemlata Gupta:
Driver waveform computation for timing analysis with multiple voltage threshold driver models.
425-428
Electronic Edition (ACM DL) BibTeX
Architectures for on-chip communication
- Hazem Moussa, Amer Baghdadi, Michel Jézéquel:
Binary de Bruijn on-chip network for a flexible multiprocessor LDPC decoder.
429-434
Electronic Edition (ACM DL) BibTeX
- Aydin O. Balkan, Gang Qu, Uzi Vishkin:
An area-efficient high-throughput hybrid interconnection network for single-chip parallel processing.
435-440
Electronic Edition (ACM DL) BibTeX
- Zhen Zhang, Alain Greiner, Sami Taktak:
A reconfigurable routing algorithm for a fault-tolerant 2D-Mesh Network-on-Chip.
441-446
Electronic Edition (ACM DL) BibTeX
- Woo-Cheol Kwon, Sungjoo Yoo, Sung-Min Hong, Byeong Min, Kyu-Myung Choi, Soo-Kwan Eo:
A practical approach of memory access parallelization to exploit multiple off-chip DDR memories.
447-452
Electronic Edition (ACM DL) BibTeX
Special session:
CMOS gate modeling for timing,
noise,
and power:
rapidly changing paradigm
- Peter Feldmann, Soroush Abbaspour:
Towards a more physical approach to gate modeling for timing, noise, and power.
453-455
Electronic Edition (ACM DL) BibTeX
- S. Raja, F. Varadi, Murat R. Becer, Joao Geada:
Transistor level gate modeling for accurate and fast timing, noise, and power analysis.
456-461
Electronic Edition (ACM DL) BibTeX
- Noel Menezes, Chandramouli V. Kashyap, Chirayu S. Amin:
A "true" electrical cell model for timing, noise, and power grid verification.
462-467
Electronic Edition (ACM DL) BibTeX
- Igor Keller, King Ho Tam, Vinod Kariat:
Challenges in gate level modeling for delay and SI at 65nm and below.
468-473
Electronic Edition (ACM DL) BibTeX
- Richard Trihy:
Addressing library creation challenges from recent Liberty extensions.
474-479
Electronic Edition (ACM DL) BibTeX
Advanced wireless design
- Christian Sauer, Matthias Gries, Hans-Peter Löb:
SystemClick: a domain-specific framework for early exploration using functional performance models.
480-485
Electronic Edition (ACM DL) BibTeX
- Joon Goo Lee, Dongha Jung, Jiho Chu, Seokjoong Hwang, Jong-Kook Kim, Janam Ku, Seon Wook Kim:
Applying passive RFID system to wireless headphones for extreme low power consumption.
486-491
Electronic Edition (ACM DL) BibTeX
- Shreyas Sen, Vishwanath Natarajan, Rajarajan Senguttuvan, Abhijit Chatterjee:
Pro-VIZOR: process tunable virtually zero margin low power adaptive RF for wireless systems.
492-497
Electronic Edition (ACM DL) BibTeX
- Arthur Nieuwoudt, Jamil Kawa, Yehia Massoud:
Automated design of tunable impedance matching networks for reconfigurable wireless applications.
498-503
Electronic Edition (ACM DL) BibTeX
Manufacturing aware design and design aware manufacturing
- Minsik Cho, Kun Yuan, Yongchan Ban, David Z. Pan:
ELIAD: efficient lithography aware detailed router with compact post-OPC printability prediction.
504-509
Electronic Edition (ACM DL) BibTeX
- Tai-Chen Chen, Guang-Wan Liao, Yao-Wen Chang:
Predictive formulae for OPC with applications to lithography-friendly routing.
510-515
Electronic Edition (ACM DL) BibTeX
- Kwangok Jeong, Andrew B. Kahng, Chul-Hong Park, Hailong Yao:
Dose map and placement co-optimization for timing yield enhancement and leakage power reduction.
516-521
Electronic Edition (ACM DL) BibTeX
- Siew-Hong Teh, Chun-Huat Heng, Arthur Tay:
Design-process integration for performance-based OPC framework.
522-527
Electronic Edition (ACM DL) BibTeX
Advances in sequential optimization
Panel
Beyond the die - packaging and die stacking
- Xiangyu Dong, Xiaoxia Wu, Guangyu Sun, Yuan Xie, Helen Li, Yiran Chen:
Circuit and microarchitecture evaluation of 3D stacking magnetic RAM (MRAM) as a universal memory replacement.
554-559
Electronic Edition (ACM DL) BibTeX
- Krishna Bharath, Ege Engin, Madhavan Swaminathan:
Automatic package and board decoupling capacitor placement using genetic algorithms and M-FDM.
560-565
Electronic Edition (ACM DL) BibTeX
- Shenghua Liu, Guoqiang Chen, Tom Tong Jing, Lei He, Tianpei Zhang, Robi Dutta, Xian-Long Hong:
Topological routing to maximize routability for package substrate.
566-569
Electronic Edition (ACM DL) BibTeX
- Ling Zhang, Wenjian Yu, Haikun Zhu, Alina Deutsch, George A. Katopis, Daniel M. Dreps, Ernest S. Kuh, Chung-Kuan Cheng:
Low power passive equalizer optimization using tritonic step response.
570-573
Electronic Edition (ACM DL) BibTeX
Special session:
ESL methodologies for platform-based synthesis
- Hristo Nikolov, Mark Thompson, Todor Stefanov, Andy D. Pimentel, Simon Polstra, R. Bose, Claudiu Zissulescu, Ed F. Deprettere:
Daedalus: toward composable multimedia MP-SoC design.
574-579
Electronic Edition (ACM DL) BibTeX
- Christian Haubelt, Thomas Schlichter, Joachim Keinert, Michael Meredith:
SystemCoDesigner: automatic design space exploration and rapid prototyping from behavioral models.
580-585
Electronic Edition (ACM DL) BibTeX
- Andreas Gerstlauer, Junyu Peng, Dongwan Shin, Daniel Gajski, A. Nakamura, Dai Araki, Y. Nishihara:
Specify-explore-refine (SER): from specification to implementation.
586-591
Electronic Edition (ACM DL) BibTeX
Special session:
wireless:
business meets technology
Leakage analysis and optimization
Design methods for on-chip communication
- Ümit Y. Ogras, Radu Marculescu, Diana Marculescu:
Variation-adaptive feedback control for networks-on-chip with multiple clock domains.
614-619
Electronic Edition (ACM DL) BibTeX
- Guangyu Chen, Feihui Li, Seung Woo Son, Mahmut T. Kandemir:
Application mapping for chip multiprocessors.
620-625
Electronic Edition (ACM DL) BibTeX
- Martin Lukasiewycz, Michael Glaß, Christian Haubelt, Jürgen Teich, Richard Regler, Bardo Lang:
Concurrent topology and routing optimization in automotive network integration.
626-629
Electronic Edition (ACM DL) BibTeX
- Ming-che Lai, Zhiying Wang, Lei Gao, Hongyi Lu, Kui Dai:
A dynamically-allocated virtual channel architecture with congestion awareness for on-chip routers.
630-633
Electronic Edition (ACM DL) BibTeX
Panel
New advances in logic synthesis
Special session:
3-D semiconductor integration & packaging
- Ted Vucurevich:
3-D semiconductor's: more from Moore.
664
Electronic Edition (ACM DL) BibTeX
- Jerry Bautista:
Tera-scale computing and interconnect challenges.
665-667
Electronic Edition (ACM DL) BibTeX
- Paul D. Franzon, W. Rhett Davis, Michael Steer, Steve Lipa, Eun Chu Oh, Thor Thorolfsson, Samson Melamed, Sonali Luniya, Tad Doxsee, Stephen Berkeley, Ben Shani, Kurt Obermiller:
Design and CAD for 3D integrated circuits.
668-673
Electronic Edition (ACM DL) BibTeX
- Wilfried Haensch:
Why should we do 3D integration?
674-675
Electronic Edition (ACM DL) BibTeX
Statistical timing analysis
- Vineeth Veetil, Dennis Sylvester, David Blaauw:
Efficient Monte Carlo based incremental statistical timing analysis.
676-681
Electronic Edition (ACM DL) BibTeX
- Zuochang Ye, Zhenhai Zhu, Joel R. Phillips:
Generalized Krylov recycling methods for solution of multiple related linear equation systems in electromagnetic analysis.
682-687
Electronic Edition (ACM DL) BibTeX
- Sanjay V. Kumar, Chandramouli V. Kashyap, Sachin S. Sapatnekar:
A framework for block-based timing sensitivity analysis.
688-693
Electronic Edition (ACM DL) BibTeX
- Jui-Hsiang Liu, Ming-Feng Tsai, Lumdo Chen, Charlie Chung-Ping Chen:
Accurate and analytical statistical spatial correlation modeling for VLSI DFM applications.
694-697
Electronic Edition (ACM DL) BibTeX
- Masanori Imai, Takashi Sato, Noriaki Nakayama, Kazuya Masu:
Non-parametric statistical static timing analysis: an SSTA framework for arbitrary distribution.
698-701
Electronic Edition (ACM DL) BibTeX
Performance driven layout optimization
- Tung-Chieh Chen, Ashutosh Chakraborty, David Z. Pan:
An integrated nonlinear placement framework with congestion and porosity aware buffer planning.
702-707
Electronic Edition (ACM DL) BibTeX
- Zhanyuan Jiang, Weiping Shi:
Circuit-wise buffer insertion and gate sizing algorithm with scalability.
708-713
Electronic Edition (ACM DL) BibTeX
- Chia-Ming Chang, Shih-Hsu Huang, Yuan-Kai Ho, Jia-Zong Lin, Hsin-Po Wang, Yu-Sheng Lu:
Type-matching clock tree for zero skew clock gating.
714-719
Electronic Edition (ACM DL) BibTeX
- Anand Rajaram, David Z. Pan:
Robust chip-level clock tree synthesis for SOC designs.
720-723
Electronic Edition (ACM DL) BibTeX
- Michael D. Moffitt, David A. Papa, Zhuo Li, Charles J. Alpert:
Path smoothing via discrete optimization.
724-727
Electronic Edition (ACM DL) BibTeX
Power and thermal considerations in single- and multi-core systems
- Hwisung Jung, Peng Rong, Massoud Pedram:
Stochastic modeling of a thermally-managed multi-core system.
728-733
Electronic Edition (ACM DL) BibTeX
- Inchoon Yeo, Chih Chun Liu, Eun Jung Kim:
Predictive dynamic thermal management for multicore systems.
734-739
Electronic Edition (ACM DL) BibTeX
- Yan Gu, Samarjit Chakraborty:
Control theory-based DVS for interactive 3D games.
740-745
Electronic Edition (ACM DL) BibTeX
- Wei Huang, Mircea R. Stan, Karthik Sankaranarayanan, Robert J. Ribando, Kevin Skadron:
Many-core design from a thermal perspective.
746-749
Electronic Edition (ACM DL) BibTeX
- Xiangrong Zhou, Chenjie Yu, Peter Petrov:
Compiler-driven register re-assignment for register file power-density and temperature reduction.
750-753
Electronic Edition (ACM DL) BibTeX
Multi-core design tools and architectures
- Jianjiang Ceng, Jerónimo Castrillón, Weihua Sheng, Hanno Scharwächter, Rainer Leupers, Gerd Ascheid, Heinrich Meyr, Tsuyoshi Isshiki, Hiroaki Kunieda:
MAPS: an integrated framework for MPSoC application parallelization.
754-759
Electronic Edition (ACM DL) BibTeX
- Mohammad Abdullah Al Faruque, Rudolf Krist, Jörg Henkel:
ADAM: run-time agent-based distributed application mapping for on-chip communication.
760-765
Electronic Edition (ACM DL) BibTeX
- Chenjie Yu, Peter Petrov:
Latency and bandwidth efficient communication through system customization for embedded multiprocessors.
766-771
Electronic Edition (ACM DL) BibTeX
- David Tarjan, Michael Boyer, Kevin Skadron:
Federation: repurposing scalar cores for out-of-order instruction issue.
772-775
Electronic Edition (ACM DL) BibTeX
- Po-Chun Chang, I-Wei Wu, Jean Jyh-Jiun Shann, Chung-Ping Chung:
ETAHM: an energy-aware task allocation algorithm for heterogeneous multiprocessor.
776-779
Electronic Edition (ACM DL) BibTeX
Reconfigurable architecture optimizations
Special session:
formal verification:
dude or dud? experiences from the trenches
Random topics in testing
- Kanupriya Gulati, Sunil P. Khatri:
Towards acceleration of fault simulation using graphics processing units.
822-827
Electronic Edition (ACM DL) BibTeX
- Melanie Elm, Hans-Joachim Wunderlich, Michael E. Imhof, Christian G. Zoellin, Jens Leenstra, Nicolas Mäding:
Scan chain clustering for test power reduction.
828-833
Electronic Edition (ACM DL) BibTeX
- Lin Huang, Feng Yuan, Qiang Xu:
On reliable modular testing with vulnerable test access mechanisms.
834-839
Electronic Edition (ACM DL) BibTeX
- Sudhakar M. Reddy, Irith Pomeranz, Chen Liu:
On tests to detect via opens in digital CMOS circuits.
840-845
Electronic Edition (ACM DL) BibTeX
Securing and debugging embedded systems
Topics in power and thermal management
- Suman Kalyan Mandal, Praveen Bhojwani, Saraju P. Mohanty, Rabi N. Mahapatra:
IntellBatt: towards smarter battery design.
872-877
Electronic Edition (ACM DL) BibTeX
- Song Liu, Seda Ogrenci Memik, Yu Zhang, Gokhan Memik:
A power and temperature aware DRAM architecture.
878-883
Electronic Edition (ACM DL) BibTeX
- Masanori Kurimoto, Hiroaki Suzuki, Rei Akiyama, Tadao Yamanaka, Haruyuki Ohkuma, Hidehiro Takata, Hirofumi Shinohara:
Phase-adjustable error detection flip-flops with 2-stage hold driven optimization and slack based grouping scheme for dynamic voltage scaling.
884-889
Electronic Edition (ACM DL) BibTeX
- Ayse Kivilcim Coskun, Tajana Simunic Rosing, Kenny C. Gross:
Temperature management in multiprocessor SoCs using online learning.
890-893
Electronic Edition (ACM DL) BibTeX
- Ganesh S. Dasika, Shidhartha Das, Kevin Fan, Scott A. Mahlke, David Bull:
DVFS in loop accelerators using BLADES.
894-897
Electronic Edition (ACM DL) BibTeX
Panel
Physical effects of variability
Soft error in scaled CMOS design
Advances in verification of abstract (pre-RTL) models
Design space exploration
Noise reliability enhancement
Panel
Copyright © Sat May 16 23:04:40 2009
by Michael Ley (ley@uni-trier.de)