dblp.uni-trier.dewww.uni-trier.de

Kevin Fan

List of publications from the DBLP Bibliography Server - FAQ
Coauthor Index - Ask others: ACM DL/Guide - CiteSeer - CSB - Google - MSN - Yahoo

2009
14EEKevin Fan, Manjunath Kudlur, Ganesh S. Dasika, Scott A. Mahlke: Bridging the computation gap between programmable processors and hardwired accelerators. HPCA 2009: 313-322
2008
13EEKevin Fan, Hyunchul Park, Manjunath Kudlur, Scott A. Mahlke: Modulo scheduling for highly customized datapaths to increase hardware reusability. CGO 2008: 124-133
12EEGanesh S. Dasika, Shidhartha Das, Kevin Fan, Scott A. Mahlke, David Bull: DVFS in loop accelerators using BLADES. DAC 2008: 894-897
11EEHyunchul Park, Kevin Fan, Scott A. Mahlke, Taewook Oh, Heeseok Kim, Hong-seok Kim: Edge-centric modulo scheduling for coarse-grained reconfigurable architectures. PACT 2008: 166-176
2006
10EEHyunchul Park, Kevin Fan, Manjunath Kudlur, Scott A. Mahlke: Modulo graph embedding: mapping applications onto coarse-grained reconfigurable architectures. CASES 2006: 136-146
9EEManjunath Kudlur, Kevin Fan, Scott A. Mahlke: Streamroller: : automatic synthesis of prescribed throughput accelerator pipelines. CODES+ISSS 2006: 270-275
8EEKevin Fan, Manjunath Kudlur, Hyunchul Park, Scott A. Mahlke: Increasing hardware efficiency with multifunction loop accelerators. CODES+ISSS 2006: 276-281
2005
7EEHongtao Zhong, Kevin Fan, Scott A. Mahlke, Michael S. Schlansker: A Distributed Control Path Architecture for VLIW Processors. IEEE PACT 2005: 197-206
6EEKevin Fan, Manjunath Kudlur, Hyunchul Park, Scott A. Mahlke: Cost Sensitive Modulo Scheduling in a Loop Accelerator Synthesis System. MICRO 2005: 219-232
2004
5EEManjunath Kudlur, Kevin Fan, Michael L. Chu, Scott A. Mahlke: Automatic Synthesis of Customized Local Memories for Multicluster Application Accelerators. ASAP 2004: 304-314
4EEManjunath Kudlur, Kevin Fan, Michael L. Chu, Rajiv A. Ravindran, Nathan Clark, Scott A. Mahlke: FLASH: Foresighted Latency-Aware Scheduling Heuristic for Processors with Customized Datapaths. CGO 2004: 201-212
3EEMichael L. Chu, Kevin Fan, Rajiv A. Ravindran, Scott A. Mahlke: Cost-Sensitive Partitioning in an Architecture Synthesis System for Multicluster Processors. IEEE Micro 24(3): 10-20 (2004)
2003
2EEKevin Fan, Nathan Clark, Michael L. Chu, K. V. Manjunath, Rajiv A. Ravindran, Mikhail Smelyanskiy, Scott A. Mahlke: Systematic Register Bypass Customization for Application-Specific Processors. ASAP 2003: 64-74
1EEMichael L. Chu, Kevin Fan, Scott A. Mahlke: Region-based hierarchical operation partitioning for multicluster processors. PLDI 2003: 300-311

Coauthor Index

1David Bull [12]
2Michael L. Chu [1] [2] [3] [4] [5]
3Nathan Clark [2] [4]
4Shidhartha Das [12]
5Ganesh S. Dasika [12] [14]
6Heeseok Kim [11]
7Hong-seok Kim [11]
8Manjunath Kudlur [4] [5] [6] [8] [9] [10] [13] [14]
9Scott A. Mahlke [1] [2] [3] [4] [5] [6] [7] [8] [9] [10] [11] [12] [13] [14]
10K. V. Manjunath [2]
11Taewook Oh [11]
12Hyunchul Park [6] [8] [10] [11] [13]
13Rajiv A. Ravindran [2] [3] [4]
14Michael S. Schlansker [7]
15Mikhail Smelyanskiy [2]
16Hongtao Zhong [7]

Copyright © Sun May 17 03:24:02 2009 by Michael Ley (ley@uni-trier.de)