dblp.uni-trier.dewww.uni-trier.de

Murat R. Becer

List of publications from the DBLP Bibliography Server - FAQ
Coauthor Index - Ask others: ACM DL/Guide - CiteSeer - CSB - Google - MSN - Yahoo

2008
21EES. Raja, F. Varadi, Murat R. Becer, Joao Geada: Transistor level gate modeling for accurate and fast timing, noise, and power analysis. DAC 2008: 456-461
2007
20EERavikishore Gandikota, Kaviraj Chopra, David Blaauw, Dennis Sylvester, Murat R. Becer: Top-k Aggressors Sets in Delay Noise Analysis. DAC 2007: 174-179
19EERavikishore Gandikota, Kaviraj Chopra, David Blaauw, Dennis Sylvester, Murat R. Becer, Joao Geada: Victim alignment in crosstalk aware timing analysis. ICCAD 2007: 698-704
2005
18 Murat R. Becer, Vladimir Zolotov, Rajendran Panda, Amir Grinshpon, Ilan Algor, Rafi Levy, Chanhee Oh: Pessimism reduction in crosstalk noise aware STA. ICCAD 2005: 954-961
2004
17EEAlexey Glebov, Sergey Gavrilov, Vladimir Zolotov, Chanhee Oh, Rajendran Panda, Murat R. Becer: False-Noise Analysis for Domino Circuits. DATE 2004: 784-789
16EEAlexey Glebov, Sergey Gavrilov, R. Soloviev, Vladimir Zolotov, Murat R. Becer, Chanhee Oh, Rajendran Panda: Delay noise pessimism reduction by logic correlations. ICCAD 2004: 160-167
15EEMurat R. Becer, David Blaauw, Ilan Algor, Rajendran Panda, Chanhee Oh, Vladimir Zolotov, Ibrahim N. Hajj: Postroute gate sizing for crosstalk noise reduction. IEEE Trans. on CAD of Integrated Circuits and Systems 23(12): 1670-1677 (2004)
14EEMurat R. Becer, Ravi Vaidyanathan, Chanhee Oh, Rajendran Panda: Crosstalk noise control in an SoC physical design flow. IEEE Trans. on CAD of Integrated Circuits and Systems 23(4): 488-497 (2004)
2003
13EEMurat R. Becer, David Blaauw, Ilan Algor, Rajendran Panda, Chanhee Oh, Vladimir Zolotov, Ibrahim N. Hajj: Post-route gate sizing for crosstalk noise reduction. DAC 2003: 954-957
12EED. Nadezhin, Sergey Gavrilov, Alexey Glebov, Y. Egorov, Vladimir Zolotov, David Blaauw, Rajendran Panda, Murat R. Becer, Alexandre Ardelea, A. Patel: SOI Transistor Model for Fast Transient Simulation. ICCAD 2003: 120128
11EEMurat R. Becer, Ravi Vaidyanathan, Chanhee Oh, Rajendran Panda: Signal integrity management in an SoC physical design flow. ISPD 2003: 39-46
10EEMurat R. Becer, David Blaauw, Ilan Algor, Rajendran Panda, Chanhee Oh, Vladimir Zolotov, Ibrahim N. Hajj: Post-Route Gate Sizing for Crosstalk Noise Reduction. ISQED 2003: 171-176
9EEChanhee Oh, David Blaauw, Murat R. Becer, Vladimir Zolotov, Rajendran Panda, Aurobindo Dasgupta: Static Electromigration Analysis for Signal Interconnects. ISQED 2003: 377-
8EEMurat R. Becer, David T. Blaauw, Rajendran Panda, Ibrahim N. Hajj: Early probabilistic noise estimation for capacitively coupled interconnects. IEEE Trans. on CAD of Integrated Circuits and Systems 22(3): 337-345 (2003)
2002
7EEMurat R. Becer, Vladimir Zolotov, David Blaauw, Rajendran Panda, Ibrahim N. Hajj: Analysis of Noise Avoidance Techniques in DSM Interconnects Using a Complete Crosstalk Noise Model . DATE 2002: 456-464
6EEVladimir Zolotov, David Blaauw, Supamas Sirichotiyakul, Murat R. Becer, Chanhee Oh, Rajendran Panda, Amir Grinshpon, Rafi Levy: Noise propagation and failure criteria for VLSI designs. ICCAD 2002: 587-594
5EEMurat R. Becer, Rajendran Panda, David Blaauw, Ibrahim N. Hajj: Pre-route Noise Estimation in Deep Submicron Integrated Circuits. ISQED 2002: 413-418
4EEMurat R. Becer, David Blaauw, Ibrahim N. Hajj, Rajendran Panda: Early probabilistic noise estimation for capacitively coupled interconnects. SLIP 2002: 77-83
2001
3EEMurat R. Becer, David Blaauw, Supamas Sirichotiyakul, Chanhee Oh, Vladimir Zolotov, Jingyan Zuo, Rafi Levy, Ibrahim N. Hajj: A Global Driver Sizing Tool for Functional Crosstalk Noise Avoidance. ISQED 2001: 158-
2000
2EEMurat R. Becer, Ibrahim N. Hajj: An Analytical Model for Delay and Crosstalk Estimation with Application to Decoupling. ISQED 2000: 51-58
1998
1 Arif Selçuk Ögrenci, Murat R. Becer, Günhan Dündar, Sina Balkir: Incorporating MOS Transistor Mismatches into Training of Analog Neural Networks. NC 1998: 669-675

Coauthor Index

1Ilan Algor [10] [13] [15] [18]
2Alexandre Ardelea [12]
3Sina Balkir [1]
4David Blaauw (David T. Blaauw) [3] [4] [5] [6] [7] [8] [9] [10] [12] [13] [15] [19] [20]
5Kaviraj Chopra [19] [20]
6Aurobindo Dasgupta [9]
7Günhan Dündar [1]
8Y. Egorov [12]
9Ravikishore Gandikota [19] [20]
10Sergey Gavrilov [12] [16] [17]
11Joao Geada [19] [21]
12Alexey Glebov [12] [16] [17]
13Amir Grinshpon [6] [18]
14Ibrahim N. Hajj [2] [3] [4] [5] [7] [8] [10] [13] [15]
15Rafi Levy [3] [6] [18]
16D. Nadezhin [12]
17Arif Selçuk Ögrenci [1]
18Chanhee Oh [3] [6] [9] [10] [11] [13] [14] [15] [16] [17] [18]
19Rajendran Panda [4] [5] [6] [7] [8] [9] [10] [11] [12] [13] [14] [15] [16] [17] [18]
20A. Patel [12]
21S. Raja [21]
22Supamas Sirichotiyakul [3] [6]
23R. Soloviev [16]
24Dennis Sylvester [19] [20]
25Ravi Vaidyanathan [11] [14]
26F. Varadi [21]
27Vladimir Zolotov [3] [6] [7] [9] [10] [12] [13] [15] [16] [17] [18]
28Jingyan Zuo [3]

Colors in the list of coauthors

Copyright © Sun May 17 03:24:02 2009 by Michael Ley (ley@uni-trier.de)