ICCAD 2008:
San Jose,
California,
USA
Sani R. Nassif, Jaijeet S. Roychowdhury (Eds.):
2008 International Conference on Computer-Aided Design (ICCAD'08), November 10-13, 2008, San Jose, CA, USA.
IEEE 2008, ISBN 978-1-4244-2820-5 BibTeX
Keynotes
Tutorials
- Subhasish Mitra, Ravishankar K. Iyer, Kishor S. Trivedi, James W. Tschanz:
Reliable system design: models, metrics and design techniques.
3
Electronic Edition (ACM DL) BibTeX
- Joel Phillips, Kurt Keutzer, Michael Wrinn:
Architecting parallel programs.
4
Electronic Edition (ACM DL) BibTeX
- Chao Wang, Malay K. Ganai, Chao Wang, Shuvendu K. Lahiri, Daniel Kroening:
Embedded software verification: challenges and solutions.
5
Electronic Edition (ACM DL) BibTeX
- David Z. Pan, Stephen Renwick, Vivek Singh, Judy Huckabay:
Nanolithography and CAD challenges for 32nm/22nm and beyond.
6
Electronic Edition (ACM DL) BibTeX
Designer's panel
Panel
Floorplanning
Logic and high-level synthesis
Test power and temperature control
- Kohei Miyase, Kenji Noda, Hideaki Ito, Kazumi Hatayama, Takashi Aikyo, Yuta Yamato, Hiroshi Furukawa, Xiaoqing Wen, Seiji Kajihara:
Effective IR-drop reduction in at-speed scan testing using Distribution-Controlling X-Identification.
52-58
Electronic Edition (ACM DL) BibTeX
- David R. Bild, Sanchit Misra, Thidapat Chantem, Prabhat Kumar, Robert P. Dick, Xiaobo Sharon Hu, Li Shang, Alok N. Choudhary:
Temperature-aware test scheduling for multiprocessor systems-on-chip.
59-66
Electronic Edition (ACM DL) BibTeX
- Jia Li, Xiao Liu, Yubin Zhang, Yu Hu, Xiaowei Li, Qiang Xu:
On capture power-aware test data compression for scan-based testing.
67-72
Electronic Edition (ACM DL) BibTeX
Simulation and optimization of analog systems
Physical synthesis and optimization
Decision procedures in verification
Power estimation and optimization
Recent progress in SSTA
- Khaled R. Heloue, Sari Onaissi, Farid N. Najm:
Efficient block-based parameterized timing analysis covering all potentially critical paths.
173-180
Electronic Edition (ACM DL) BibTeX
- Lin Xie, Azadeh Davoodi, Jun Zhang, Tai-Hsuan Wu:
Adjustment-based modeling for statistical static timing analysis with high dimension of variability.
181-184
Electronic Edition (ACM DL) BibTeX
- Farinaz Koushanfar, Petros Boufounos, Davood Shamsi:
Post-silicon timing characterization by compressed sensing.
185-189
Electronic Edition (ACM DL) BibTeX
- Amith Singhee, Sonia Singhal, Rob A. Rutenbar:
Practical, fast Monte Carlo statistical static timing analysis: why and how.
190-195
Electronic Edition (ACM DL) BibTeX
- Javid Jaffari, Mohab Anis:
On efficient Monte Carlo-based statistical static timing analysis of digital circuits.
196-203
Electronic Edition (ACM DL) BibTeX
Placement
- Tao Luo, David A. Papa, Zhuo Li, Chin-Ngai Sze, Charles J. Alpert, David Z. Pan:
Pyramids: an efficient computational geometry-based approach for timing-driven placement.
204-211
Electronic Edition (ACM DL) BibTeX
- Kalliopi Tsota, Cheng-Kok Koh, Venkataramanan Balakrishnan:
Guiding global placement with wire density.
212-217
Electronic Edition (ACM DL) BibTeX
- Hsin-Chen Chen, Yi-Lin Chuang, Yao-Wen Chang, Yung-Chung Chang:
Constraint graph-based macro placement for modern mixed-size circuit designs.
218-223
Electronic Edition (ACM DL) BibTeX
Sequential synthesis
System-level thermal and power management
Modeling and simulation of process variability
Placement and beyond
Circuit and system optimization and modeling
Global routing
System-level simulation
Analog and memory design enablers
- Wei Dong, Peng Li, Garng M. Huang:
SRAM dynamic stability: theory, variability and analysis.
378-385
Electronic Edition (ACM DL) BibTeX
- Jaeha Kim, Brian S. Leibowitz, Metha Jeeradit:
Impulse sensitivity function analysis of periodic circuits.
386-391
Electronic Edition (ACM DL) BibTeX
- Trent McConaghy, Pieter Palmers, Georges G. E. Gielen, Michiel Steyaert:
Automated extraction of expert knowledge in analog topology selection and sizing.
392-395
Electronic Edition (ACM DL) BibTeX
- Peng Gao, Trent McConaghy, Georges G. E. Gielen:
Importance sampled circuit learning ensembles for robust analog IC design.
396-399
Electronic Edition (ACM DL) BibTeX
Embedded tutorial:
Graphene electronics:
design and CAD challenges and opportunities
Physical design for performance improvement & noise immunity
Novel design methodologies for system architecture
- Timothy Kam, Michael Kishinevsky, Jordi Cortadella, Marc Galceran Oms:
Correct-by-construction microarchitectural pipelining.
434-441
Electronic Edition (ACM DL) BibTeX
- Dmitry Bufistov, Jorge Júlvez, Jordi Cortadella:
Performance optimization of elastic systems using buffer resizing and buffer insertion.
442-448
Electronic Edition (ACM DL) BibTeX
- Gennette Gill, Vishal Gupta, Montek Singh:
Performance estimation and slack matching for pipelined asynchronous architectures with choice.
449-456
Electronic Edition (ACM DL) BibTeX
- Myong Hyon Cho, Chih-Chi Cheng, Michel Kinsy, G. Edward Suh, Srinivas Devadas:
Diastolic arrays: throughput-driven reconfigurable computing.
457-464
Electronic Edition (ACM DL) BibTeX
DFM methods for advanced lithography
- Andrew B. Kahng, Chul-Hong Park, Xu Xu, Hailong Yao:
Layout decomposition for double patterning lithography.
465-472
Electronic Edition (ACM DL) BibTeX
- Shayak Banerjee, Praveen Elakkumanan, Lars Liebmann, Michael Orshansky:
Electrically driven optical proximity correction based on linear programming.
473-479
Electronic Edition (ACM DL) BibTeX
- Jinyu Zhang, Wei Xiong, Yan Wang, Zhiping Yu, Min-Chun Tsai:
A highly efficient optimization algorithm for pixel manipulation in inverse lithography technique.
480-487
Electronic Edition (ACM DL) BibTeX
- Jae-Seok Yang, David Z. Pan:
Overlay aware interconnect and timing variation modeling for double patterning technology.
488-493
Electronic Edition (ACM DL) BibTeX
- Alexey Lvov, Ulrich Finkler:
Exact basic geometric operations on arbitrary angle polygons using only fixed size integer coordinates.
494-498
Electronic Edition (ACM DL) BibTeX
Advances in routing
System-level optimization issues in highly parallel architectures
Advances in embedded systems
- B. V. N. Silpa, Anjul Patney, Tushar Krishna, Preeti Ranjan Panda, G. S. Visweswaran:
Texture filter memory: a power-efficient and scalable texture memory architecture for mobile graphics processors.
559-564
Electronic Edition (ACM DL) BibTeX
- Taylan Yemliha, Shekhar Srikantaiah, Mahmut T. Kandemir, Ozcan Ozturk:
SPM management using Markov chain based data access prediction.
565-569
Electronic Edition (ACM DL) BibTeX
- Love Singhal, Elaheh Bozorgzadeh:
Process variation aware system-level task allocation using stochastic ordering of delay distributions.
570-574
Electronic Edition (ACM DL) BibTeX
- Sanjit A. Seshia, Alexander Rakhlin:
Game-theoretic timing analysis.
575-582
Electronic Edition (ACM DL) BibTeX
- Taylan Yemliha, Shekhar Srikantaiah, Mahmut T. Kandemir, Mustafa Karaköy, Mary Jane Irwin:
Integrated code and data placement in two-dimensional mesh based chip multiprocessors.
583-588
Electronic Edition (ACM DL) BibTeX
Alternative circuit fabrics
Thermal analysis and optimization
Path delay anomaly identification for quality and security
Techniques for next generation interconnect modeling
Security issues in ICs
Modeling approaches for reliability and stress analysis
Improving FPGA reliability
Advances in model order reduction
Design techniques for emerging technologies
Embedded tutorial:
Learning from silicon:
correlating measurements,
models and design
Exploiting logic constraints for noise analysis
Advances in oscillator macromodeling
Copyright © Sat May 16 23:16:27 2009
by Michael Ley (ley@uni-trier.de)