dblp.uni-trier.dewww.uni-trier.de

Arvind

List of publications from the DBLP Bibliography Server - FAQ
Coauthor Index - Ask others: ACM DL/Guide - CiteSeer - CSB - Google - MSN - Yahoo
Home Page

2008
66EE Arvind, Nirav Dave, Michael Katelman: Getting Formal Verification into Design Flow. FM 2008: 12-32
65EEMichael Pellauer, Muralidaran Vijayaraghavan, Michael Adler, Arvind, Joel S. Emer: A-Ports: an efficient abstraction for cycle-accurate performance models on FPGAs. FPGA 2008: 87-96
64EEMichal Karczmarek, Arvind: Synthesis from multi-cycle atomic actions as a solution to the timing closure problem. ICCAD 2008: 24-31
63EEMichael Pellauer, Muralidaran Vijayaraghavan, Michael Adler, Arvind, Joel S. Emer: Quick Performance Models Quickly: Closely-Coupled Partitioned Simulation on FPGAs. ISPASS 2008: 1-10
62EEKermin Fleming, Chun-Chieh Lin, Nirav Dave, Arvind, Gopal Raghavan, Jamey Hicks: H.264 Decoder: A Case Study in Multiple Design Points. MEMOCODE 2008: 165-174
61EE Arvind, Rishiyur S. Nikhil: Hands-on Introduction to Bluespec System Verilog (BSV) (Abstract). MEMOCODE 2008: 205-206
2007
60EENirav Dave, Arvind, Michael Pellauer: Scheduling as Rule Composition. MEMOCODE 2007: 51-60
59EEMan Cheuk Ng, Muralidaran Vijayaraghavan, Nirav Dave, Arvind, Gopal Raghavan, Jamey Hicks: From WiFi to WiMAX: Techniques for High-Level IP Reuse across Different OFDM Protocols. MEMOCODE 2007: 71-80
58EEJan-Willem Maessen, Arvind: Store Atomicity for Transactional Memory. Electr. Notes Theor. Comput. Sci. 174(9): 117-137 (2007)
2006
57EE Arvind, Jan-Willem Maessen: Memory Model = Instruction Reordering + Store Atomicity. ISCA 2006: 29-40
56EENirav Dave, Michael Pellauer, S. Gerding, Arvind: 802.11a transmitter: a case study in microarchitectural exploration. MEMOCODE 2006: 59-68
55EE Arvind: UNUM: A Tinker-Toy Approach to Building Multicore PowerPC Microarchitectures. VLSI Design 2006: 39
54EE Arvind, Jamey Hicks: A Mobile Phone Ecosystem: MIT and Nokia's Joint Research Venture. IEEE Intelligent Systems 21(5): 78-79 (2006)
2005
53  Arvind, Larry Rudolph: Proceedings of the 19th Annual International Conference on Supercomputing, ICS 2005, Cambridge, Massachusetts, USA, June 20-22, 2005 ACM 2005
52EERyan Newton, Arvind, Matt Welsh: Building up to macroprogramming: an intermediate language for sensor networks. IPSN 2005: 37-44
51EENirav Dave, Man Cheuk Ng, Arvind: Automatic synthesis of cache-coherence protocol processors using Bluespec. MEMOCODE 2005: 25-34
2004
50EEDaniel L. Rosenband, Arvind: Modular scheduling of guarded atomic actions. DAC 2004: 55-60
49EE Arvind: Rethinking Computer Architecture Research. HiPC 2004: 1-2
48EE Arvind, Rishiyur S. Nikhil, Daniel L. Rosenband, Nirav Dave: High-level synthesis: an essential ingredient for designing complex ASICs. ICCAD 2004: 775-782
47EEHari Balakrishnan, Srinivas Devadas, Douglas Ehlert, Arvind: Rate Guarantees and Overload Protection in Input-Queued Switches. INFOCOM 2004
46EEJames C. Hoe, Arvind: Operation-centric hardware description and synthesis. IEEE Trans. on CAD of Integrated Circuits and Systems 23(9): 1277-1288 (2004)
2003
45EE Arvind: Bluespec: A language for hardware design, simulation, synthesis and verification Invited Talk. MEMOCODE 2003: 249-
2001
44EEJoseph E. Stoy, Xiaowei Shen, Arvind: Proofs of Correctness of Cache-Coherence Protocols. FME 2001: 43-71
2000
43 James C. Hoe, Arvind: Synthesis of Operation-Centric Hardware Descriptions. ICCAD 2000: 511-518
42EEBoon Seong Ang, Derek Chiou, Larry Rudolph, Arvind: Micro-Architectures of High Performance, Multi-User System Area Network Interface Cards. IPDPS 2000: 13-20
41EEJan-Willem Maessen, Arvind, Xiaowei Shen: Improving the Java memory model using CRF. OOPSLA 2000: 1-12
40EE Arvind, Anton T. Dahbura, Alejandro Caro: From Monsoon to StarT-Voyager: University-Industry Collaboration. IEEE Micro 20(3): 75-84 (2000)
1999
39EEXiaowei Shen, Arvind, Larry Rudolph: Commit-Reconcile & Fences (CRF): A New Memory Model for Architects and Compiler Writers. ISCA 1999: 150-161
38EEXiaowei Shen, Arvind, Larry Rudolph: CACHET: an adaptive cache coherence protocol for distributed shared-memory systems. International Conference on Supercomputing 1999: 135-144
37 James C. Hoe, Arvind: Hardware Synthesis from Term Rewriting Systems. VLSI 1999: 595-619
1998
36EEBoon Seong Ang, Derek Chiou, Larry Rudolph, Arvind: The StarT-Voyager Parallel System. IEEE PACT 1998: 185-
35EE Arvind, Jan-Willem Maessen, Rishiyur S. Nikhil, Joseph E. Stoy: LambdaS: an implicitly parallel lambda-calculus with letrec, synchronization and side-effects. Electr. Notes Theor. Comput. Sci. 16(3): (1998)
34 Andrew Shaw, Arvind, Kyoo-Chan Cho, Christopher Hill, R. Paul Johnson, John Marshall: A Comparison of Implicitly Parallel Multithreaded and Data-Parallel Implementations of an Ocean Model. J. Parallel Distrib. Comput. 48(1): 1-51 (1998)
1996
33  Arvind, Jan-Willem Maessen, Rishiyur S. Nikhil, Joseph E. Stoy: A Lambda Calculus with Letrecs and Barriers. FSTTCS 1996: 19-36
32  Arvind, Alejandro Caro, Jan-Willem Maessen, Shail Aditya: A Multithreaded Substrate and Compilation Model for the Implicity Parallel Language pH. LCPC 1996: 519-533
1995
31 Derek Chiou, Boon Seong Ang, Robert Greiner, Arvind, James C. Hoe, Michael J. Beckerle, James E. Hicks, G. Andrew Boughton: START-NG: Delivering Seamless Parallel Computing. Euro-Par 1995: 101-116
30 Shail Aditya, Arvind, Joseph E. Stoy: Semantics of Barriers in a Non-Strict, Implicitly-Parallel Language. FPCA 1995: 204-215
29EEZena M. Ariola, Arvind: Properties of a First-Order Functional Language with Sharing. Theor. Comput. Sci. 146(1&2): 69-108 (1995)
1994
28  Arvind: Prospects of ubiquitous parallel computing. IPPS 1994: 2-3
1993
27EE Arvind, Stephen Brobst: The Evolution of Dataflow Architectures: from Static Dataflow to P-RISC. International Journal of High Speed Computing 5(2): 125-153 (1993)
26 James E. Hicks, Derek Chiou, Boon Seong Ang, Arvind: Performance Studies of Id on the Monsoon Dataflow System. J. Parallel Distrib. Comput. 18(3): 273-300 (1993)
1992
25 Rishiyur S. Nikhil, Gregory M. Papadopoulos, Arvind: *T: A Multithreaded Massively Parallel Architecture. ISCA 1992: 156-167
1991
24 Paul S. Barth, Rishiyur S. Nikhil, Arvind: M-Structures: Extending a Parallel, Non-strict, Functional Language with State. FPCA 1991: 538-568
23 Zena M. Ariola, Arvind: Compilation of Id. LCPC 1991: 99-121
22 Zena M. Ariola, Arvind: A Syntactic Approach to Program Transformations. PEPM 1991: 116-129
1990
21  Arvind, Rishiyur S. Nikhil: Executing a Program on the MIT Tagged-Token Dataflow Architecture. IEEE Trans. Computers 39(3): 300-318 (1990)
1989
20EEZena M. Ariola, Arvind: P-TAC: A Parallel Intermediate Language. FPCA 1989: 230-242
19EE Arvind, Rishiyur S. Nikhil, Keshav Pingali: I-Structures: Data Structures for Parallel Computing. ACM Trans. Program. Lang. Syst. 11(4): 598-632 (1989)
1988
18 David E. Culler, Arvind: Resource Requirements of Dataflow Programs. ISCA 1988: 141-150
17  Arvind, Kattamuri Ekanadham: Future Scientific Programming on Parallel Machines. J. Parallel Distrib. Comput. 5(5): 460-493 (1988)
1987
16  Arvind, Kattamuri Ekanadham: Future Scientific Programming on Parallel Machines. ICS 1987: 639-686
15  Arvind, Rishiyur S. Nikhil: Executing a Program on the MIT Tagged-Token Dataflow Architecture. PARLE (2) 1987: 1-29
14  Arvind, Robert A. Iannucci: Two Fundamental Issues in Multiprocessing. Parallel Computing in Science and Engineering 1987: 61-88
1986
13  Arvind, Rishiyur S. Nikhil, Keshav Pingali: I-structures: Data structures for parallel computing. Graph Reduction 1986: 336-369
12EEKeshav Pingali, Arvind: Efficient Demand-Driven Evaluation - Part 2. ACM Trans. Program. Lang. Syst. 8(1): 109-139 (1986)
11 Keshav Pingali, Arvind: Clarification of ``Feeding Inputs on Demand'' in Efficient Demand-Driven Evaluation - Part 1. ACM Trans. Program. Lang. Syst. 8(1): 140-141 (1986)
1985
10  Arvind: Demand-Driven Evaluation on Dataflow Machine. FSTTCS 1985: 411
9EEKeshav Pingali, Arvind: Efficient Demand-Driven Evaluation - Part 1. ACM Trans. Program. Lang. Syst. 7(2): 311-333 (1985)
1983
8  Arvind, Robert A. Iannucci: A Critique of Multiprocessing von Neumann Style ISCA 1983: 426-436
1982
7 Tilak Agerwala, Arvind: Data Flow Systems - Guest Editors' Introduction. IEEE Computer 15(2): 10-13 (1982)
6  Arvind, Kim P. Gostelow: The U-Interpreter. IEEE Computer 15(2): 42-49 (1982)
1981
5  Arvind: Data Flow Languages and Architecture. ISCA 1981: 1
1980
4  Arvind, J. Dean Brock: Streams and Managers. Operating Systems Engineering 1980: 452-465
1977
3  Arvind, Kim P. Gostelow: A Computer Capable of Exchanging Processors for Time. IFIP Congress 1977: 849-853
2  Arvind, Kim P. Gostelow, Wil Plouffe: Indeterminancy, Monitors, and Dataflow. SOSP 1977: 159-169
1973
1  Arvind, Richard Y. Kain, E. Sadeh: On Reference String Generation Processes. SOSP 1973: 80-87

Coauthor Index

1Shail Aditya [30] [32]
2Michael Adler [63] [65]
3Tilak Agerwala [7]
4Boon Seong Ang [26] [31] [36] [42]
5Zena M. Ariola [20] [22] [23] [29]
6Hari Balakrishnan [47]
7Paul S. Barth [24]
8Michael J. Beckerle [31]
9G. Andrew Boughton [31]
10Stephen Brobst [27]
11J. Dean Brock [4]
12Alejandro Caro [32] [40]
13Derek Chiou [26] [31] [36] [42]
14Kyoo-Chan Cho [34]
15David E. Culler [18]
16Anton T. Dahbura [40]
17Nirav Dave [48] [51] [56] [59] [60] [62] [66]
18Srinivas Devadas [47]
19Douglas Ehlert [47]
20Kattamuri Ekanadham [16] [17]
21Joel S. Emer [63] [65]
22Kermin Fleming [62]
23S. Gerding [56]
24Kim P. Gostelow [2] [3] [6]
25Robert Greiner [31]
26James E. Hicks [26] [31]
27Jamey Hicks [54] [59] [62]
28Christopher Hill [34]
29James C. Hoe [31] [37] [43] [46]
30Robert A. Iannucci [8] [14]
31R. Paul Johnson [34]
32Richard Y. Kain [1]
33Michal Karczmarek [64]
34Michael Katelman [66]
35Chun-Chieh Lin [62]
36Jan-Willem Maessen [32] [33] [35] [41] [57] [58]
37John Marshall [34]
38Ryan Newton [52]
39Man Cheuk Ng [51] [59]
40Rishiyur S. Nikhil [13] [15] [19] [21] [24] [25] [33] [35] [48] [61]
41Gregory M. Papadopoulos [25]
42Michael Pellauer [56] [60] [63] [65]
43Keshav Pingali [9] [11] [12] [13] [19]
44Wil Plouffe [2]
45Gopal Raghavan [59] [62]
46Daniel L. Rosenband [48] [50]
47Larry Rudolph [36] [38] [39] [42] [53]
48E. Sadeh [1]
49Andrew Shaw [34]
50Xiaowei Shen [38] [39] [41] [44]
51Joseph E. Stoy [30] [33] [35] [44]
52Muralidaran Vijayaraghavan [59] [63] [65]
53Matt Welsh [52]

Colors in the list of coauthors

Copyright © Sun May 17 03:24:02 2009 by Michael Ley (ley@uni-trier.de)