dblp.uni-trier.dewww.uni-trier.de

Xiaowei Li

List of publications from the DBLP Bibliography Server - FAQ
Coauthor Index - Ask others: ACM DL/Guide - CiteSeer - CSB - Google - MSN - Yahoo

2008
75EEFei Wang, Yu Hu, Huawei Li, Xiaowei Li: A design- for-diagnosis technique for diagnosing both scan chain faults and combinational circuit faults. ASP-DAC 2008: 571-576
74EEJia Li, Qiang Xu, Yu Hu, Xiaowei Li: On reducing both shift and capture power for scan-based testing. ASP-DAC 2008: 653-658
73EEXiang Fu, Huawei Li, Yu Hu, Xiaowei Li: Robust test generation for power supply noise induced path delay faults. ASP-DAC 2008: 659-662
72EEChangchang Wu, Brian Clipp, Xiaowei Li, Jan-Michael Frahm, Marc Pollefeys: 3D model matching with Viewpoint-Invariant Patches (VIP). CVPR 2008
71EEJia Li, Qiang Xu, Yu Hu, Xiaowei Li: iFill: An Impact-Oriented X-Filling Method for Shift- and Capture-Power Reduction in At-Speed Scan-Based Testing. DATE 2008: 1184-1189
70EELei Zhang, Yinhe Han, Qiang Xu, Xiaowei Li: Defect Tolerance in Homogeneous Manycore Processors Using Core-Level Redundancy with Unified Topology. DATE 2008: 891-896
69EEFei Wang, Yu Hu, Xiaowei Li: Adaptive Diagnostic Pattern Generation for Scan Chains. DELTA 2008: 129-132
68EEJia Li, Qiang Xu, Yu Hu, Xiaowei Li: Channel Width Utilization Improvement in Testing NoC-Based Systems for Test Time Reduction. DELTA 2008: 26-31
67EEDa Wang, Rui Li, Yu Hu, Huawei Li, Xiaowei Li: A Case Study on At-Speed Testing for a Gigahertz Microprocessor. DELTA 2008: 326-331
66EEMinjin Zhang, Huawei Li, Xiaowei Li: Static Crosstalk Noise Analysis with Transition Map. DELTA 2008: 462-465
65EEHui Liu, Huawei Li, Yu Hu, Xiaowei Li: A Scan-Based Delay Test Method for Reduction of Overtesting. DELTA 2008: 521-526
64EEXiaowei Li, Changchang Wu, Christopher Zach, Svetlana Lazebnik, Jan-Michael Frahm: Modeling and Recognition of Landmark Image Collections Using Iconic Scene Graphs. ECCV (1) 2008: 427-440
63EEJia Li, Xiao Liu, Yubin Zhang, Yu Hu, Xiaowei Li, Qiang Xu: On capture power-aware test data compression for scan-based testing. ICCAD 2008: 67-72
62EEYing Zhang, Huawei Li, Xiaowei Li, Yu Hu: Codeword Selection for Crosstalk Avoidance and Error Correction on Interconnects. VTS 2008: 377-382
61EEMinjin Zhang, Huawei Li, Xiaowei Li: Multiple Coupling Effects Oriented Path Delay Test Generation. VTS 2008: 383-388
2007
60EELei Zhang, Huawei Li, Xiaowei Li: A Routing Algorithm for Random Error Tolerance in Network-on-Chip. HCI (4) 2007: 1210-1219
59EEYinhe Han, Yu Hu, Xiaowei Li, Huawei Li, Anshuman Chandra: Embedded Test Decompressor to Reduce the Required Channels and Vector Memory of Tester for Complex Processor Circuit. IEEE Trans. VLSI Syst. 15(5): 531-540 (2007)
58EEGuangyan Huang, Xiaowei Li, Jing He, Xin Li: Data Mining via Minimal Spanning Tree Clustering for Prolonging Lifetime of Wireless Sensor Networks. International Journal of Information Technology and Decision Making 6(2): 235-251 (2007)
57EEWei Wang, Yu Hu, Yinhe Han, Xiaowei Li, You-Sheng Zhang: Leakage Current Optimization Techniques During Test Based on Don't Care Bits Assignment. J. Comput. Sci. Technol. 22(5): 673-680 (2007)
2006
56EEHongyang Chen, Ping Deng, Yongjun Xu, Xiaowei Li: A Novel Localization Scheme Based on RSS Data for Wireless Sensor Networks. APWeb Workshops 2006: 315-320
55EELei Xie, Yongjun Xu, Xiaowei Li, Yuefei Zhu: A Lightweight Scheme for Trust Relationship Establishment in Ubiquitous Sensor Networks. CIT 2006: 229
54EEDan Hou, Xia Shen, Xiaowei Li, Yue Liu, Yongtian Wang: Digital Restoration of Historical Heritage by Reconstruction from Uncalibrated Images. Edutainment 2006: 1377-1382
53EETong Liu, Huawei Li, Xiaowei Li, Yinhe Han: Fast Packet Classification using Group Bit Vector. GLOBECOM 2006
52EEJie Don, Yu Hu, Yinhe Han, Xiaowei Li: An on-chip combinational decompressor for reducing test data volume. ISCAS 2006
51EEGuangyan Huang, Xiaowei Li, Jing He: Clustering Versus Evenly Distributing Energy Dissipation in Wireless Sensor Routing for Prolonging Network Lifetime. International Conference on Computational Science (2) 2006: 1069-1072
50EEHuawei Li, Pei-Fu Shen, Xiaowei Li: Robust Test Generation for Precise Crosstalk-induced Path Delay Faults. VTS 2006: 300-305
49EEYu Hu, Yinhe Han, Xiaowei Li, Huawei Li, Xiaoqing Wen: Compression/Scan Co-design for Reducing Test Data Volume, Scan-in Power Dissipation, and Test Application Time. IEICE Transactions 89-D(10): 2616-2625 (2006)
48EETao Lv, Jianping Fan, Xiaowei Li, Ling-Yi Liu: Observability Statement Coverage Based on Dynamic Factored Use-Definition Chains for Functional Verification. J. Electronic Testing 22(3): 273-285 (2006)
47EEYinhe Han, Huawei Li, Xiaowei Li, Anshuman Chandra: Response compaction for system-on-a-chip based on advanced convolutional codes. Science in China Series F: Information Sciences 49(2): 262-272 (2006)
2005
46EEYongjun Xu, Jinghua Chen, Zuying Luo, Xiaowei Li: Vector extraction for average total power estimation. ASP-DAC 2005: 1086-1089
45EEYinhe Han, Yu Hu, Huawei Li, Xiaowei Li: Theoretic analysis and enhanced X-tolerance of test response compact based on convolutional code. ASP-DAC 2005: 53-58
44EEShuguang Gong, Huawei Li, Yufeng Xu, Tong Liu, Xiaowei Li: Design of an efficient memory subsystem for network processor. ASP-DAC 2005: 897-900
43EEPei-Fu Shen, Huawei Li, Yongjun Xu, Xiaowei Li: Non-robust Test Generation for Crosstalk-Induced Delay Faults. Asian Test Symposium 2005: 120-125
42EEYinhe Han, Xiaowei Li, Shivakumar Swaminathan, Yu Hu, Anshuman Chandra: Scan Data Volume Reduction Using Periodically Alterable MUXs Decompressor. Asian Test Symposium 2005: 372-377
41EEGuangmei Zhang, Chen Rui, Xiaowei Li, Han Congying: The Automatic Generation of Basis Set of Path for Path Testing. Asian Test Symposium 2005: 46-51
40EEGuangyan Huang, Guangmei Zhang, Xiaowei Li, Yunzhan Gong: A State Machine for Detecting C/C++ Memory Faults. Asian Test Symposium 2005: 82-87
39EEXiaowei Li, Yue Liu, Yongtian Wang, Dayuan Yan, Dongdong Weng, Tao Yang: An Improved Colored-Marker Based Registration Method for AR Applications. ICCSA (3) 2005: 266-273
38EEYanzhuo Tan, Yinhe Han, Xiaowei Li, Feiyin Lu, Yuchuan Chen: Validation analysis and test flow optimization of VLSI chip. ISCAS (6) 2005: 5666-5669
37EEJi Li, Yinhe Han, Xiaowei Li: Deterministic and low power BIST based on scan slice overlapping. ISCAS (6) 2005: 5670-5673
36EEYinhe Han, Yu Hu, Huawei Li, Xiaowei Li: Using MUXs Network to Hide Bunches of Scan Chains. ISQED 2005: 238-243
35EEYu Hu, Xiaowei Li, Huawei Li, Xiaoqing Wen: Compression/Scan Co-Design for Reducing Test Data Volume, Scan-in Power Dissipation and Test Application Time. PRDC 2005: 175-182
34EEYinhe Han, Yu Hu, Xiaowei Li, Huawei Li, Anshuman Chandra, Xiaoqing Wen: Wrapper Scan Chains Design for Rapid and Low Power Testing of Embedded Cores. IEICE Transactions 88-D(9): 2126-2134 (2005)
33EEXiaowei Li, Guanghui Li, Ming Shao: Formal Verification Techniques Based on Boolean Satisfiability Problem. J. Comput. Sci. Technol. 20(1): 38-47 (2005)
32EEXiaowei Li: Perface. J. Comput. Sci. Technol. 20(2): 145-145 (2005)
31EEYinhe Han, Xiaowei Li, Huawei Li, Anshuman Chandra: Test Resource Partitioning Based on Efficient Response Compaction for Test Time and Tester Channels Reduction. J. Comput. Sci. Technol. 20(2): 201-209 (2005)
30EEHuawei Li, Xiaowei Li: Selection of Crosstalk-Induced Faults in Enhanced Delay Test. J. Electronic Testing 21(2): 181-195 (2005)
2004
29EEYu Hu, Yinhe Han, Huawei Li, Tao Lv, Xiaowei Li: Pair Balance-Based Test Scheduling for SOCs. Asian Test Symposium 2004: 236-241
28EEGuanghui Li, Xiaowei Li: Circuit-Width Based Heuristic for Boolean Reasoning. Asian Test Symposium 2004: 336-341
27EEYinhe Han, Yu Hu, Huawei Li, Xiaowei Li, Anshuman Chandra: Rapid and Energy-Efficient Testing for Embedded Cores. Asian Test Symposium 2004: 8-13
26EEYinhe Han, Yu Hu, Huawei Li, Xiaowei Li, Anshuman Chandra: Response Compaction for Test Time and Test Pins Reduction Based on Advanced Convolutional Codes. DFT 2004: 298-305
25 Yinhe Han, Xiaowei Li: Simultaneous Reduction of Test Data Volume and Testing Power for Scan-Based Test. ESA/VLSI 2004: 374-381
24 Yongjun Xu, Zuying Luo, Xiaowei Li: A maximum total leakage current estimation method. ISCAS (2) 2004: 757-760
23 Xiaowei Li: Conference Reports. IEEE Design & Test of Computers 21(1): 68- (2004)
22EEYongjun Xu, Zuying Luo, Xiaowei Li, Li-Jian Li, Xianlong Hong: Leakage Current Estimation of CMOS Circuit with Stack Effect. J. Comput. Sci. Technol. 19(5): 708-717 (2004)
2003
21EEYunzhan Gong, Wanli Xu, Xiaowei Li: An Expression's Single Fault Model and the Testing Methods. Asian Test Symposium 2003: 110-115
20EETao Lv, Jianping Fan, Xiaowei Li: An Efficient Observability Evaluation Algorithm Based on Factored Use-Def Chains. Asian Test Symposium 2003: 161-167
19EEHuawei Li, Yue Zhang, Xiaowei Li: Delay Test Pattern Generation Considering Crosstalk-Induced Effects. Asian Test Symposium 2003: 178-183
18EEYongjun Xu, Zuying Luo, Zhiguo Chen, Xiaowei Li: Average Leakage Current Macromodeling for Dual-Threshold Voltage Circuits. Asian Test Symposium 2003: 196-201
17EEYinhe Han, Yongjun Xu, Huawei Li, Xiaowei Li, Anshuman Chandra: Test Resource Partitioning Based on Efficient Response Compaction for Test Time and Teste. Asian Test Symposium 2003: 440-445
16EEGuanghui Li, Ming Shao, Xiaowei Li: Design Error Diagnosis Based on Verification Techniques. Asian Test Symposium 2003: 474-477
15EEMing Shao, Guanghui Li, Xiaowei Li: SAT-Based Algorithm of Verification for Port Order Fault. Asian Test Symposium 2003: 478-481
14EEZhigang Yin, Yinghua Min, Xiaowei Li, Huawei Li: A Novel RT-Level Behavioral Description Based ATPG Method. J. Comput. Sci. Technol. 18(3): 308-317 (2003)
2002
13EEZuying Luo, Xiaowei Li, Huawei Li, Shiyuan Yang, Yinghua Min: Test Power Optimization Techniques for CMOS Circuits. Asian Test Symposium 2002: 332-337
2001
12EEZhigang Yin, Yinghua Min, Xiaowei Li: An Approach to RTL Fault Extraction and Test Generation. Asian Test Symposium 2001: 219-224
11EEXiaowei Li, Huawei Li, Yinghua Min: Reducing Power Dissipation during At-Speed Test Application. DFT 2001: 116-
10EEXiaowei Li, Paul Y. S. Cheung: A Loop-Based Apparatus for At-Speed Self-Testing. J. Comput. Sci. Technol. 16(3): 278-285 (2001)
2000
9EEXiaowei Li, Toshimitsu Masuzawa, Hideo Fujiwara: Strong self-testability for data paths high-level synthesis. Asian Test Symposium 2000: 229-234
8EEXiaowei Li, Paul Y. S. Cheung: High Level Synthesis for Loop-Based BIST. J. Comput. Sci. Technol. 15(4): 338-345 (2000)
7EEXiaowei Li, Paul Y. S. Cheung: Exploiting Deterministic TPG for Path Delay Testing. J. Comput. Sci. Technol. 15(5): 472-479 (2000)
6EEXiaowei Li, Paul Y. S. Cheung, Hideo Fujiwara: LFSR-Based Deterministic TPG for Two-Pattern Testing. J. Electronic Testing 16(5): 419-426 (2000)
1999
5EEXiaowei Li, Paul Y. S. Cheung: Data Path Synthesis for BIST with Low Area Overhead. ASP-DAC 1999: 275-278
4EEXiaowei Li, Paul Y. S. Cheung: Exploiting Test Resource Optimization in Data Path Synthesis for BIST. Great Lakes Symposium on VLSI 1999: 342-343
3EEXiaowei Li, Paul Y. S. Cheung: An approach to behavioral synthesis for loop-based BIST. ISCAS (6) 1999: 374-377
1998
2EEXiaowei Li, Paul Y. S. Cheung: Exploiting BIST Approach for Two-Pattern Testing. Asian Test Symposium 1998: 424-429
1EEXiaowei Li, Paul Y. S. Cheung: High-Level BIST Synthesis for Delay Testing. DFT 1998: 318-

Coauthor Index

1Anshuman Chandra [17] [26] [27] [31] [34] [42] [47] [59]
2Hongyang Chen [56]
3Jinghua Chen [46]
4Yuchuan Chen [38]
5Zhiguo Chen [18]
6Paul Y. S. Cheung [1] [2] [3] [4] [5] [6] [7] [8] [10]
7Brian Clipp [72]
8Han Congying [41]
9Ping Deng [56]
10Jie Don [52]
11Jianping Fan [20] [48]
12Jan-Michael Frahm [64] [72]
13Xiang Fu [73]
14Hideo Fujiwara [6] [9]
15Shuguang Gong [44]
16Yunzhan Gong [21] [40]
17Yinhe Han [17] [25] [26] [27] [29] [31] [34] [36] [37] [38] [42] [45] [47] [49] [52] [53] [57] [59] [70]
18Jing He [51] [58]
19Xianlong Hong [22]
20Dan Hou [54]
21Yu Hu [26] [27] [29] [34] [35] [36] [42] [45] [49] [52] [57] [59] [62] [63] [65] [67] [68] [69] [71] [73] [74] [75]
22Guangyan Huang [40] [51] [58]
23Svetlana Lazebnik [64]
24Guanghui Li [15] [16] [28] [33]
25Huawei Li [11] [13] [14] [17] [19] [26] [27] [29] [30] [31] [34] [35] [36] [43] [44] [45] [47] [49] [50] [53] [59] [60] [61] [62] [65] [66] [67] [73] [75]
26Ji Li [37]
27Jia Li [63] [68] [71] [74]
28Li-Jian Li [22]
29Rui Li [67]
30Xin Li [58]
31Hui Liu [65]
32Ling-Yi Liu [48]
33Tong Liu [44] [53]
34Xiao Liu [63]
35Yue Liu [39] [54]
36Feiyin Lu [38]
37Zuying Luo [13] [18] [22] [24] [46]
38Tao Lv [20] [29] [48]
39Toshimitsu Masuzawa [9]
40Yinghua Min [11] [12] [13] [14]
41Marc Pollefeys [72]
42Chen Rui [41]
43Ming Shao [15] [16] [33]
44Pei-Fu Shen [43] [50]
45Xia Shen [54]
46Shivakumar Swaminathan [42]
47Yanzhuo Tan [38]
48Da Wang [67]
49Fei Wang [69] [75]
50Wei Wang [57]
51Yongtian Wang [39] [54]
52Xiaoqing Wen [34] [35] [49]
53Dongdong Weng [39]
54Changchang Wu [64] [72]
55Lei Xie [55]
56Qiang Xu [63] [68] [70] [71] [74]
57Wanli Xu [21]
58Yongjun Xu [17] [18] [22] [24] [43] [46] [55] [56]
59Yufeng Xu [44]
60Dayuan Yan [39]
61Shiyuan Yang [13]
62Tao Yang [39]
63Zhigang Yin [12] [14]
64Christopher Zach [64]
65Guangmei Zhang [40] [41]
66Lei Zhang [60] [70]
67Minjin Zhang [61] [66]
68Ying Zhang [62]
69You-Sheng Zhang [57]
70Yubin Zhang [63]
71Yue Zhang [19]
72Yuefei Zhu [55]

Colors in the list of coauthors

Copyright © Sun May 17 03:24:02 2009 by Michael Ley (ley@uni-trier.de)