dblp.uni-trier.dewww.uni-trier.de

Anantha Chandrakasan

Anantha P. Chandrakasan

List of publications from the DBLP Bibliography Server - FAQ
Coauthor Index - Ask others: ACM DL/Guide - CiteSeer - CSB - Google - MSN - Yahoo
Home Page

2008
97EEBrian P. Ginsburg, Anantha P. Chandrakasan: The mixed signal optimum energy point: voltage and parallelism. DAC 2008: 244-249
96EETaeg Sang Cho, Kyeong-jae Lee, Jing Kong, Anantha P. Chandrakasan: The design of a low power carbon nanotube chemical sensor system. DAC 2008: 84-89
95EELara Dolecek, Masood Qazi, Devavrat Shah, Anantha Chandrakasan: Breaking the simulation barrier: SRAM evaluation through norm minimization. ICCAD 2008: 322-329
94EEVivienne Sze, Anantha P. Chandrakasan, Madhukar Budagavi, Minhua Zhou: Parallel CABAC for low power video coding. ICIP 2008: 2096-2099
93EEPatrick P. Mercier, Denis C. Daly, Manish Bhardwaj, David D. Wentzloff, Fred S. Lee, Anantha P. Chandrakasan: Ultra-low-power UWB for sensor network applications. ISCAS 2008: 2562-2565
92EECurt Schurgers, Anantha Chandrakasan: Traceback-Based Optimizations for Maximum a Posteriori Decoding Algorithms. Signal Processing Systems 53(3): 231-241 (2008)
2007
91EEVivienne Sze, Anantha P. Chandrakasan: A 0.4-V UWB baseband processor. ISLPED 2007: 262-267
90EENigel Drego, Anantha Chandrakasan, Duane S. Boning: A Test-Structure to Efficiently Study Threshold-Voltage Variation in Large MOSFET Arrays. ISQED 2007: 281-286
89EEBruno Bougard, Francky Catthoor, Denis C. Daly, Anantha Chandrakasan, Wim Dehaene: Energy Efficiency of the IEEE 802.15.4 Standard in Dense Wireless Microsensor Networks: Modeling and Improvement Perspectives CoRR abs/0710.4732: (2007)
88EERaúl Blázquez, Fred S. Lee, David D. Wentzloff, Brian P. Ginsburg, Johnna Powell, Anantha Chandrakasan: Direct Conversion Pulsed UWB Transceiver Architecture CoRR abs/0710.4815: (2007)
2006
87EEBenton H. Calhoun, Alice Wang, Naveen Verma, Anantha Chandrakasan: Sub-threshold design: the challenges of minimizing circuit energy. ISLPED 2006: 366-368
86EEJoyce Kwong, Anantha P. Chandrakasan: Variation-driven device sizing for minimum energy sub-threshold circuits. ISLPED 2006: 8-13
2005
85EEBruno Bougard, Francky Catthoor, Denis C. Daly, Anantha Chandrakasan, Wim Dehaene: Energy Efficiency of the IEEE 802.15.4 Standard in Dense Wireless Microsensor Networks: Modeling and Improvement Perspectives. DATE 2005: 196-201
84EERaúl Blázquez, Fred S. Lee, David D. Wentzloff, Brian P. Ginsburg, Johnna Powell, Anantha Chandrakasan: Direct Conversion Pulsed UWB Transceiver Architecture. DATE 2005: 94-95
83EEBrian P. Ginsburg, Anantha P. Chandrakasan: An energy-efficient charge recycling approach for a SAR converter with capacitive DAC. ISCAS (1) 2005: 184-187
82EEYoung-Su Kwon, Payam Lajevardi, Anantha P. Chandrakasan, Frank Honoré, Donald E. Troxel: A 3-D FPGA wire resource prediction model validated using a 3-D placement and routing tool. SLIP 2005: 65-72
81EEBenton H. Calhoun, Denis C. Daly, Naveen Verma, Daniel F. Finchelstein, David D. Wentzloff, Alice Wang, Seong-Hwan Cho, Anantha P. Chandrakasan: Design Considerations for Ultra-Low Energy Wireless Microsensor Nodes. IEEE Trans. Computers 54(6): 727-740 (2005)
80EEPuneet P. Newaskar, Raúl Blázquez, Anantha P. Chandrakasan: A/D Precision Requirements for Digital Ultra-Wideband Radio Receivers. VLSI Signal Processing 39(1-2): 175-188 (2005)
2004
79EEShamik Das, Anantha Chandrakasan, Rafael Reif: Timing, energy, and thermal performance of three-dimensional integrated circuits. ACM Great Lakes Symposium on VLSI 2004: 338-343
78EEBenton H. Calhoun, Anantha Chandrakasan: Characterizing and modeling minimum energy operation for subthreshold circuits. ISLPED 2004: 90-95
77EEDavid D. Wentzloff, Benton H. Calhoun, Rex Min, Alice Wang, Nathan Ickes, Anantha Chandrakasan: Design Considerations for Next Generation Wireless Power-Aware Microsensor Nodes. VLSI Design 2004: 361-
76 Shamik Das, Anantha Chandrakasan, Rafael Reif: Calibration of Rent's rule models for three-dimensional integrated circuits. IEEE Trans. VLSI Syst. 12(4): 359-366 (2004)
75EEEugene Shih, Seong-Hwan Cho, Fred S. Lee, Benton H. Calhoun, Anantha Chandrakasan: Design Considerations for Energy-Efficient Radios in Wireless Microsensor Networks. VLSI Signal Processing 37(1): 77-94 (2004)
2003
74EETwan Basten, Luca Benini, Anantha Chandrakasan, Menno Lindwer, Jie Liu, Rex Min, Feng Zhao: Scaling into Ambient Intelligence. DATE 2003: 10076-10083
73EEFrank Honoré, Benton H. Calhoun, Anantha Chandrakasan: Power-aware architectures and circuits for FPGA-based signal processing. FPGA 2003: 244
72EEBenton H. Calhoun, Frank Honoré, Anantha Chandrakasan: Design methodology for fine-grained leakage control in MTCMOS. ISLPED 2003: 104-109
71EEAlice Wang, Anantha Chandrakasan: Energy-aware architectures for a real-valued FFT implementation. ISLPED 2003: 360-365
70EEShamik Das, Anantha Chandrakasan, Rafael Reif: Three-Dimensional Integrated Circuits: Performance, Design Methodology, and CAD Tools. ISVLSI 2003: 13-18
69EEArifur Rahman, Shamik Das, Anantha P. Chandrakasan, Rafael Reif: Wiring requirement and three-dimensional integration technology for field programmable gate arrays. IEEE Trans. VLSI Syst. 11(1): 44-54 (2003)
68EEAmit Sinha, Nathan Ickes, Anantha P. Chandrakasan: Instruction level and operating system profiling for energy exposed software. IEEE Trans. VLSI Syst. 11(6): 1044-1057 (2003)
67 Paul-Peter Sotiriadis, Vahid Tarokh, Anantha Chandrakasan: Energy reduction in VLSI computation modules: an information-theoretic approach. IEEE Transactions on Information Theory 49(4): 790-808 (2003)
66EERex Min, Anantha Chandrakasan: MobiCom poster: top five myths about the energy consumption of wireless communication. Mobile Computing and Communications Review 7(1): 65-67 (2003)
2002
65EEJames Kao, Siva Narendra, Anantha Chandrakasan: Subthreshold leakage modeling and reduction techniques. ICCAD 2002: 141-148
64EEManish Bhardwaj, Anantha Chandrakasan: Bounding the Lifetime of Sensor Networks Via Optimal Role Assignments. INFOCOM 2002
63EEPaul-Peter Sotiriadis, Anantha Chandrakasan, Vahid Tarokh: Maximum achievable energy reduction using coding with applications to deep sub-micron buses. ISCAS (1) 2002: 85-88
62EESiva Narendra, Vivek De, Shekhar Borkar, Dimitri Antoniadis, Anantha Chandrakasan: Full-chip sub-threshold leakage power prediction model for sub-0.18 µm CMOS. ISLPED 2002: 19-23
61EERex Min, Anantha Chandrakasan: A framework for energy-scalable communication in high-density wireless networks. ISLPED 2002: 36-41
60EEAlice Wang, Anantha Chandrakasan, Stephen V. Kosonocky: Optimal Supply and Threshold Scaling for Subthreshold CMOS Circuits. ISVLSI 2002: 7-14
59EEAmit Sinha, Alice Wang, Anantha Chandrakasan: Energy scalable system design. IEEE Trans. VLSI Syst. 10(2): 135-145 (2002)
58EEPaul-Peter Sotiriadis, Anantha P. Chandrakasan: A bus energy model for deep submicron technology. IEEE Trans. VLSI Syst. 10(3): 341-350 (2002)
57EEPaul-Peter Sotiriadis, Anantha Chandrakasan: Power Estimation and Power Optimal Communication in Deep Submicron Buses: Analytical Models and Statistical Measures. Journal of Circuits, Systems, and Computers 11(6): 637-658 (2002)
2001
56EEPaul-Peter Sotiriadis, Anantha Chandrakasan: Reducing bus delay in submicron technology using coding. ASP-DAC 2001: 109-114
55EEAmit Sinha, Anantha Chandrakasan: JouleTrack - A Web Based Tool for Software Energy Profiling. DAC 2001: 220-225
54EEAmit Sinha, Anantha Chandrakasan: Energy Efficient Real-Time Scheduling. ICCAD 2001: 458-470
53EEAndrew Wang, Seong-Hwan Cho, Charles Sodini, Anantha Chandrakasan: Energy efficient Modulation and MAC for Asymmetric RF Microsensor Systems. ISLPED 2001: 106-111
52EESiva Narendra, Vivek De, Dimitri Antoniadis, Anantha Chandrakasan, Shekhar Borkar: Scaling of stack effect and its application for leakage reduction. ISLPED 2001: 195-200
51EEPaul-Peter Sotiriadis, Theodoros Konstantakopoulos, Anantha Chandrakasan: Analysis and implementation of charge recycling for deep sub-micron buses. ISLPED 2001: 364-369
50EEEugene Shih, Seong-Hwan Cho, Nathan Ickes, Rex Min, Amit Sinha, Alice Wang, Anantha Chandrakasan: Physical layer driven protocol and algorithm design for energy-efficient wireless sensor networks. MOBICOM 2001: 272-287
49EEAmit Sinha, Anantha Chandrakasan: Operating System and Algorithmic Techniques for Energy Scalable Wireless Sensor Networks. Mobile Data Management 2001: 199-209
48EEArifur Rahman, Shamik Das, Anantha Chandrakasan, Rafael Reif: Wiring requirement and three-dimensional integration of field-programmable gate arrays. SLIP 2001: 107-113
47EERex Min, Manish Bhardwaj, Seong-Hwan Cho, Eugene Shih, Amit Sinha, Alice Wang, Anantha Chandrakasan: Low-Power Wireless Sensor Networks. VLSI Design 2001: 205-210
46EEAmit Sinha, Anantha Chandrakasan: Dynamic Voltage Scheduling Using Adaptive Filtering of Workload Traces. VLSI Design 2001: 221-226
45EEAmit Sinha, Anantha Chandrakasan: Dynamic Power Management in Wireless Sensor Networks. IEEE Design & Test of Computers 18(2): 62-74 (2001)
44EEScott Meninger, Jose Oscar Mur-Miranda, Rajeevan Amirtharajah, Anantha Chandrakasan, J. H. Lang: Vibration-to-electric energy conversion. IEEE Trans. VLSI Syst. 9(1): 64-76 (2001)
43EEManish Bhardwaj, Rex Min, Anantha P. Chandrakasan: Quantifying and enhancing power awareness of VLSI systems. IEEE Trans. VLSI Syst. 9(6): 757-772 (2001)
42EEAlice Wang, Wendi B. Heinzelman, Amit Sinha, Anantha P. Chandrakasan: Energy-Scalable Protocols for Battery-Operated MicroSensor Networks. VLSI Signal Processing 29(3): 223-237 (2001)
2000
41EEJames Goodman, Anantha Chandrakasan: An Energy Efficient Reconfigurable Public-Key Cryptograhpy Processor Architecture. CHES 2000: 175-190
40EEVikas Mehrotra, Shiou Lin Sam, Duane S. Boning, Anantha Chandrakasan, Rakesh Vallishayee, Sani R. Nassif: A methodology for modeling the effects of systematic within-die interconnect and device variation on circuit performance. DAC 2000: 172-175
39EEWendi Rabiner Heinzelman, Anantha Chandrakasan, Hari Balakrishnan: Energy-Efficient Communication Protocol for Wireless Microsensor Networks. HICSS 2000
38 Paul-Peter Sotiriadis, Anantha Chandrakasan: Bus Energy Minimization by Transition Pattern Coding (TPC) in Deep Submicron Technologies. ICCAD 2000: 322-327
37EEHemang Lavana, Franc Brglez, Robert B. Reese, Gangadhar Konduri, Anantha Chandrakasan: OpenDesign: An Open User-Configurable Project Environment for Collaborative Design and Execution on the Internet. ICCD 2000: 567-570
36EEAmit Sinha, Alice Wang, Anantha Chandrakasan: Algorithmic transforms for efficient energy scalable computation. ISLPED 2000: 31-36
35EEAmit Sinha, Anantha Chandrakasan: Energy Aware Software. VLSI Design 2000: 50-
34EEAbram P. Dancy, Rajeevan Amirtharajah, Anantha P. Chandrakasan: High-efficiency multiple-output DC-DC conversion for low-voltage systems. IEEE Trans. VLSI Syst. 8(3): 252-263 (2000)
1999
33EEJames Goodman, Anantha Chandrakasan, Abram P. Dancy: Design and Implementation of a Scalable Encryption Processor with Embedded Variable DC/DC Converter. DAC 1999: 855-860
32EEGangadhar Konduri, Anantha Chandrakasan: A Framework for Collaborative and Distributed Web-Based Design. DAC 1999: 898-903
31EEGangadhar Konduri, James Goodman, Anantha Chandrakasan: Energy efficient software through dynamic voltage scheduling. ISCAS (1) 1999: 358-361
30EERajeevan Amirtharajah, Thucydides Xanthopoulos, Anantha Chandrakasan: Power scalable processing using distributed arithmetic. ISLPED 1999: 170-175
29EEScott Meninger, Jose Oscar Mur-Miranda, Rajeevan Amirtharajah, Anantha Chandrakasan, Jeffrey Lang: Vibration-to-electric energy conversion. ISLPED 1999: 48-53
28 Anantha Chandrakasan, Abram P. Dancy, James Goodman, Thomas Simon: A Low-Power Wireless Camera System. VLSI Design 1999: 32-36
27EESeong-Hwan Cho, Thucydides Xanthopoulos, Anantha P. Chandrakasan: A low power variable length decoder for MPEG-2 based on nonuniform fine-grain table partitioning. IEEE Trans. VLSI Syst. 7(2): 249-257 (1999)
1998
26 Anantha Chandrakasan, Sayfe Kiaei: Proceedings of the 1998 International Symposium on Low Power Electronics and Design, 1998, Monterey, California, USA, August 10-12, 1998 ACM 1998
25EEJames Kao, Siva Narendra, Anantha Chandrakasan: MTCMOS Hierarchical Sizing Based on Mutual Exclusive Discharge Patterns. DAC 1998: 495-500
24EEAbram P. Dancy, Anantha Chandrakasan: A reconfigurable dual output low power digital PWM power converter. ISLPED 1998: 191-196
23EEDebashis Saha, Anantha Chandrakasan: Web-based Distributed VLSI Design. VLSI Design 1998: 449-
22EEAnantha Chandrakasan, Edwin Hsing-Mean Sha: Special Section on Low-Power Electronics and Design. IEEE Trans. VLSI Syst. 6(4): 518-519 (1998)
21EEGeorge Hadjiyiannis, Anantha Chandrakasan, Srinivas Devadas: A low power, low bandwidth protocol for remote wireless terminals. Wireless Networks 4(1): 3-15 (1998)
20EEJames Goodman, Anantha Chandrakasan: Low power scalable encryption for wireless systems. Wireless Networks 4(1): 55-70 (1998)
1997
19 Brock Barton, Massoud Pedram, Anantha Chandrakasan, Sayfe Kiaei: Proceedings of the 1997 International Symposium on Low Power Electronics and Design, 1997, Monterey, California, USA, August 18-20, 1997 ACM 1997
18EEJames Kao, Anantha Chandrakasan, Dimitri Antoniadis: Transistor Sizing Issues and Tool For Multi-Threshold CMOS Technology. DAC 1997: 409-414
17EEThucydides Xanthopoulos, Yoshifumi Yaoi, Anantha Chandrakasan: Architectural Exploration Using Verilog-Based Power Estimation: A Case Study of the IDCT. DAC 1997: 415-420
16EEJim Burr, Anantha Chandrakasan, Fari Assaderaghi, Francky Catthoor, Frank Fox, Dave Greenhill, Deo Singh, Jim Sproch: Low power design without compromise (panel). ISLPED 1997: 293-294
15EEDebashis Saha, Anantha Chandrakasan: A Framework for Distributed Web-based Microsystem Design. WETICE 1997: 69-74
14EEVadim Gutnik, Anantha P. Chandrakasan: Embedded power supply for low-power DSP. IEEE Trans. VLSI Syst. 5(4): 425-435 (1997)
13EES. Hamid Nawab, Alan V. Oppenheim, Anantha P. Chandrakasan, Joseph M. Winograd, Jeffrey T. Ludwig: Approximate Signal Processing. VLSI Signal Processing 15(1-2): 177-200 (1997)
1996
12EEAnantha Chandrakasan, Isabel Yang, Carlin Vieri, Dimitri Antoniadis: Design Considerations and Tools for Low-voltage Digital System Design. DAC 1996: 113-118
11EEAnantha Chandrakasan, Vadim Gutnik, Thucydides Xanthopoulos: Data driven signal processing: an approach for energy efficient computing. ISLPED 1996: 347-352
10EEAnantha Chandrakasan: Ultra low power digital signal processing. VLSI Design 1996: 352-357
9EEAnantha Chandrakasan, Kurt Keutzer, A. Khandekar, S. L. Maskara, B. D. Pradhan, Mani B. Srivastava: Mobile Communications: Demands on VLSI Technology, Design and CAD. VLSI Design 1996: 432-436
8EEMani B. Srivastava, Anantha P. Chandrakasan, Robert W. Brodersen: Predictive system shutdown and other architectural techniques for energy efficient programmable computation. IEEE Trans. VLSI Syst. 4(1): 42-55 (1996)
7EEMiodrag Potkonjak, Mani B. Srivastava, Anantha P. Chandrakasan: Multiple constant multiplications: efficient and versatile framework and algorithms for exploring common subexpression elimination. IEEE Trans. on CAD of Integrated Circuits and Systems 15(2): 151-165 (1996)
6EEAnantha P. Chandrakasan, Robert W. Brodersen: Guest editors' introduction. VLSI Signal Processing 13(2-3): 85-86 (1996)
1995
5EEMiodrag Potkonjak, Anantha Chandrakasan: Synthesis and selection of DCT algorithms using behavioral synthesis-based algorithm space exploration. ICIP 1995: 65-68
4EEAnantha P. Chandrakasan, Miodrag Potkonjak, Renu Mehra, Jan M. Rabaey, Robert W. Brodersen: Optimizing power using transformations. IEEE Trans. on CAD of Integrated Circuits and Systems 14(1): 12-31 (1995)
1994
3EEMiodrag Potkonjak, Mani B. Srivastava, Anantha Chandrakasan: Efficient Substitution of Multiple Constant Multiplications by Shifts and Additions Using Iterative Pairwise Matching. DAC 1994: 189-194
2 Anantha Chandrakasan, Mani B. Srivastava, Robert W. Brodersen: Energy Efficient Programmable Computation. VLSI Design 1994: 261-264
1992
1EEAnantha Chandrakasan, Miodrag Potkonjak, Jan M. Rabaey, Robert W. Brodersen: HYPER-LP: a system for power minimization using architectural transformations. ICCAD 1992: 300-303

Coauthor Index

1Rajeevan Amirtharajah [29] [30] [34] [44]
2Dimitri Antoniadis [12] [18] [52] [62]
3Fari Assaderaghi [16]
4Hari Balakrishnan [39]
5Brock Barton [19]
6Twan Basten [74]
7Luca Benini [74]
8Manish Bhardwaj [43] [47] [64] [93]
9Raúl Blázquez [80] [84] [88]
10Duane S. Boning [40] [90]
11Shekhar Y. Borkar (Shekhar Borkar) [52] [62]
12Bruno Bougard [85] [89]
13Franc Brglez [37]
14Robert W. Brodersen [1] [2] [4] [6] [8]
15Madhukar Budagavi [94]
16Jim Burr [16]
17Benton H. Calhoun [72] [73] [75] [77] [78] [81] [87]
18Francky Catthoor [16] [85] [89]
19Seong-Hwan Cho [27] [47] [50] [53] [75] [81]
20Taeg Sang Cho [96]
21Denis C. Daly [81] [85] [89] [93]
22Abram P. Dancy [24] [28] [33] [34]
23Shamik Das [48] [69] [70] [76] [79]
24Vivek De [52] [62]
25Wim Dehaene [85] [89]
26Srinivas Devadas [21]
27Lara Dolecek [95]
28Nigel Drego [90]
29Daniel F. Finchelstein [81]
30Frank Fox [16]
31Brian P. Ginsburg [83] [84] [88] [97]
32James Goodman [20] [28] [31] [33] [41]
33Dave Greenhill [16]
34Vadim Gutnik [11] [14]
35George Hadjiyiannis [21]
36Wendi Rabiner Heinzelman (Wendi Beth Heinzelman, Wendi B. Heinzelman) [39] [42]
37Frank Honoré [72] [73] [82]
38Nathan Ickes [50] [68] [77]
39James Kao [18] [25] [65]
40Kurt Keutzer [9]
41A. Khandekar [9]
42Sayfe Kiaei [19] [26]
43Gangadhar Konduri [31] [32] [37]
44Jing Kong [96]
45Theodoros Konstantakopoulos [51]
46Stephen V. Kosonocky [60]
47Young-Su Kwon [82]
48Joyce Kwong [86]
49Payam Lajevardi [82]
50J. H. Lang [44]
51Jeffrey Lang [29]
52Hemang Lavana [37]
53Fred S. Lee [75] [84] [88] [93]
54Kyeong-jae Lee [96]
55Menno Lindwer [74]
56Jie Liu [74]
57Jeffrey T. Ludwig [13]
58S. L. Maskara [9]
59Renu Mehra [4]
60Vikas Mehrotra [40]
61Scott Meninger [29] [44]
62Patrick P. Mercier [93]
63Rex Min [43] [47] [50] [61] [66] [74] [77]
64Jose Oscar Mur-Miranda [29] [44]
65Siva Narendra [25] [52] [62] [65]
66Sani R. Nassif [40]
67S. Hamid Nawab [13]
68Puneet P. Newaskar [80]
69Alan V. Oppenheim [13]
70Massoud Pedram [19]
71Miodrag Potkonjak [1] [3] [4] [5] [7]
72Johnna Powell [84] [88]
73B. D. Pradhan [9]
74Masood Qazi [95]
75Jan M. Rabaey [1] [4]
76Arifur Rahman [48] [69]
77Robert B. Reese [37]
78Rafael Reif [48] [69] [70] [76] [79]
79Debashis Saha [15] [23]
80Shiou Lin Sam [40]
81Curt Schurgers [92]
82Edwin Hsing-Mean Sha [22]
83Devavrat Shah [95]
84Eugene Shih [47] [50] [75]
85Thomas Simon [28]
86Deo Singh [16]
87Amit Sinha [35] [36] [42] [45] [46] [47] [49] [50] [54] [55] [59] [68]
88Charles Sodini [53]
89Paul-Peter Sotiriadis [38] [51] [56] [57] [58] [63] [67]
90Jim Sproch [16]
91Mani B. Srivastava [2] [3] [7] [8] [9]
92Vivienne Sze [91] [94]
93Vahid Tarokh [63] [67]
94Donald E. Troxel [82]
95Rakesh Vallishayee [40]
96Naveen Verma [81] [87]
97Carlin Vieri [12]
98Alice Wang [36] [42] [47] [50] [59] [60] [71] [77] [81] [87]
99Andrew Wang [53]
100David D. Wentzloff [77] [81] [84] [88] [93]
101Joseph M. Winograd [13]
102Thucydides Xanthopoulos [11] [17] [27] [30]
103Isabel Yang [12]
104Yoshifumi Yaoi [17]
105Feng Zhao [74]
106Minhua Zhou [94]

Colors in the list of coauthors

Copyright © Sun May 17 03:24:02 2009 by Michael Ley (ley@uni-trier.de)