dblp.uni-trier.dewww.uni-trier.de

Kohei Miyase

List of publications from the DBLP Bibliography Server - FAQ
Coauthor Index - Ask others: ACM DL/Guide - CiteSeer - CSB - Google - MSN - Yahoo

2008
22EEIlia Polian, Kohei Miyase, Yusuke Nakamura, Seiji Kajihara, Piet Engelke, Bernd Becker, Stefan Spinner, Xiaoqing Wen: Diagnosis of Realistic Defects Based on the X-Fault Model. DDECS 2008: 263-266
21EEKohei Miyase, Kenji Noda, Hideaki Ito, Kazumi Hatayama, Takashi Aikyo, Yuta Yamato, Hiroshi Furukawa, Xiaoqing Wen, Seiji Kajihara: Effective IR-drop reduction in at-speed scan testing using Distribution-Controlling X-Identification. ICCAD 2008: 52-58
20EEYuta Yamato, Yusuke Nakamura, Kohei Miyase, Xiaoqing Wen, Seiji Kajihara: A Novel Per-Test Fault Diagnosis Method Based on the Extended X-Fault Model for Deep-Submicron LSI Circuits. IEICE Transactions 91-D(3): 667-674 (2008)
19EEKohei Miyase, Kenta Terashima, Xiaoqing Wen, Seiji Kajihara, Sudhakar M. Reddy: On Detection of Bridge Defects with Stuck-at Tests. IEICE Transactions 91-D(3): 683-689 (2008)
18EEXiaoqing Wen, Kohei Miyase, Tatsuya Suzuki, Seiji Kajihara, Laung-Terng Wang, Kewal K. Saluja, Kozo Kinoshita: Low Capture Switching Activity Test Generation for Reducing IR-Drop in At-Speed Scan Testing. J. Electronic Testing 24(4): 379-391 (2008)
2007
17EEXiaoqing Wen, Kohei Miyase, Tatsuya Suzuki, Seiji Kajihara, Yuji Ohsumi, Kewal K. Saluja: Critical-Path-Aware X-Filling for Effective IR-Drop Reduction in At-Speed Scan Testing. DAC 2007: 527-532
16EEXiaoqing Wen, Seiji Kajihara, Kohei Miyase, Tatsuya Suzuki, Kewal K. Saluja, Laung-Terng Wang, Kozo Kinoshita: A Novel ATPG Method for Capture Power Reduction during Scan Testing. IEICE Transactions 90-D(9): 1398-1405 (2007)
2006
15EEXiaoqing Wen, Kohei Miyase, Tatsuya Suzuki, Yuta Yamato, Seiji Kajihara, Laung-Terng Wang, Kewal K. Saluja: Highly-Guided X-Filling Method for Effective Low-Capture-Power Scan Test Generation. ICCD 2006
14EEXiaoqing Wen, Seiji Kajihara, Kohei Miyase, Tatsuya Suzuki, Kewal K. Saluja, Laung-Terng Wang, Khader S. Abdel-Hafez, Kozo Kinoshita: A New ATPG Method for Efficient Capture Power Reduction During Scan Testing. VTS 2006: 58-65
13EEXiaoqing Wen, Seiji Kajihara, Kohei Miyase, Yuta Yamato, Kewal K. Saluja, Laung-Terng Wang, Kozo Kinoshita: A Per-Test Fault Diagnosis Method Based on the X-Fault Model. IEICE Transactions 89-D(11): 2756-2765 (2006)
2005
12EEKohei Miyase, Kenta Terashima, Seiji Kajihara, Xiaoqing Wen, Sudhakar M. Reddy: On Improving Defect Coverage of Stuck-at Fault Tests. Asian Test Symposium 2005: 216-223
11EEXiaoqing Wen, Tatsuya Suzuki, Seiji Kajihara, Kohei Miyase, Yoshihiro Minamoto, Laung-Terng Wang, Kewal K. Saluja: Efficient Test Set Modification for Capture Power Reduction. J. Low Power Electronics 1(3): 319-330 (2005)
2004
10EEKohei Miyase, Seiji Kajihara, Sudhakar M. Reddy: Multiple Scan Tree Design with Test Vector Modification. Asian Test Symposium 2004: 76-81
9EEKohei Miyase, Seiji Kajihara: XID: Don't care identification of test patterns for combinational circuits. IEEE Trans. on CAD of Integrated Circuits and Systems 23(2): 321-326 (2004)
2003
8EEKohei Miyase, Seiji Kajihara: Optimal Scan Tree Construction with Test Vector Modification for Test Compression. Asian Test Symposium 2003: 136-141
7EESudhakar M. Reddy, Kohei Miyase, Seiji Kajihara, Irith Pomeranz: On test data volume reduction for multiple scan chain designs. ACM Trans. Design Autom. Electr. Syst. 8(4): 460-469 (2003)
2002
6EESeiji Kajihara, Kenjiro Taniguchi, Kohei Miyase, Irith Pomeranz, Sudhakar M. Reddy: Test Data Compression Using Don?t-Care Identification and Statistical Encoding. Asian Test Symposium 2002: 67-
5EEKohei Miyase, Seiji Kajihara, Sudhakar M. Reddy: A Method of Static Test Compaction Based on Don't Care Identification. DELTA 2002: 392-395
4EEKohei Miyase, Seiji Kajihara, Irith Pomeranz, Sudhakar M. Reddy: Don't-Care Identification on Specific Bits of Test Patterns. ICCD 2002: 194-199
3EESudhakar M. Reddy, Kohei Miyase, Seiji Kajihara, Irith Pomeranz: On Test Data Volume Reduction for Multiple Scan Chain Designs. VTS 2002: 103-110
2EESeiji Kajihara, Koji Ishida, Kohei Miyase: Test Vector Modification for Power Reduction during Scan Testing. VTS 2002: 160-165
2001
1EESeiji Kajihara, Kohei Miyase: On Identifying Don't Care Inputs of Test Patterns for Combinational Circuits. ICCAD 2001: 364-369

Coauthor Index

1Khader S. Abdel-Hafez [14]
2Takashi Aikyo [21]
3Bernd Becker [22]
4Piet Engelke [22]
5Hiroshi Furukawa [21]
6Kazumi Hatayama [21]
7Koji Ishida [2]
8Hideaki Ito [21]
9Seiji Kajihara [1] [2] [3] [4] [5] [6] [7] [8] [9] [10] [11] [12] [13] [14] [15] [16] [17] [18] [19] [20] [21] [22]
10Kozo Kinoshita [13] [14] [16] [18]
11Yoshihiro Minamoto [11]
12Yusuke Nakamura [20] [22]
13Kenji Noda [21]
14Yuji Ohsumi [17]
15Ilia Polian [22]
16Irith Pomeranz [3] [4] [6] [7]
17Sudhakar M. Reddy [3] [4] [5] [6] [7] [10] [12] [19]
18Kewal K. Saluja [11] [13] [14] [15] [16] [17] [18]
19Stefan Spinner [22]
20Tatsuya Suzuki [11] [14] [15] [16] [17] [18]
21Kenjiro Taniguchi [6]
22Kenta Terashima [12] [19]
23Laung-Terng Wang [11] [13] [14] [15] [16] [18]
24Xiaoqing Wen [11] [12] [13] [14] [15] [16] [17] [18] [19] [20] [21] [22]
25Yuta Yamato [13] [15] [20] [21]

Copyright © Sun May 17 03:24:02 2009 by Michael Ley (ley@uni-trier.de)