dblp.uni-trier.dewww.uni-trier.de

G. Edward Suh

List of publications from the DBLP Bibliography Server - FAQ
Coauthor Index - Ask others: ACM DL/Guide - CiteSeer - CSB - Google - MSN - Yahoo

2008
17EEMyong Hyon Cho, Chih-Chi Cheng, Michel Kinsy, G. Edward Suh, Srinivas Devadas: Diastolic arrays: throughput-driven reconfigurable computing. ICCAD 2008: 457-464
2007
16EEG. Edward Suh, Srinivas Devadas: Physical Unclonable Functions for Device Authentication and Secret Key Generation. DAC 2007: 9-14
15EEG. Edward Suh, Charles W. O'Donnell, Srinivas Devadas: Aegis: A Single-Chip Secure Processor. IEEE Design & Test of Computers 24(6): 570-580 (2007)
2006
14EEMarten van Dijk, Dwaine E. Clarke, Blaise Gassend, G. Edward Suh, Srinivas Devadas: Speeding up Exponentiation using an Untrusted Computational Resource. Des. Codes Cryptography 39(2): 253-273 (2006)
2005
13EEDwaine E. Clarke, G. Edward Suh, Blaise Gassend, Ajay Sudan, Marten van Dijk, Srinivas Devadas: Towards Constant Bandwidth Overhead Integrity Checking of Untrusted Data. IEEE Symposium on Security and Privacy 2005: 139-153
12EEG. Edward Suh, Charles W. O'Donnell, Ishan Sachdev, Srinivas Devadas: Design and Implementation of the AEGIS Single-Chip Secure Processor Using Physical Random Functions. ISCA 2005: 25-36
11EEDaihyun Lim, Jae W. Lee, Blaise Gassend, G. Edward Suh, Marten van Dijk, Srinivas Devadas: Extracting secret keys from integrated circuits. IEEE Trans. VLSI Syst. 13(10): 1200-1205 (2005)
2004
10EEG. Edward Suh, Jae W. Lee, David Zhang, Srinivas Devadas: Secure program execution via dynamic information flow tracking. ASPLOS 2004: 85-96
9EEG. Edward Suh, Larry Rudolph, Srinivas Devadas: Dynamic Partitioning of Shared Cache Memory. The Journal of Supercomputing 28(1): 7-26 (2004)
2003
8EEDwaine E. Clarke, Srinivas Devadas, Marten van Dijk, Blaise Gassend, G. Edward Suh: Incremental Multiset Hash Functions and Their Application to Memory Integrity Checking. ASIACRYPT 2003: 188-207
7EEPrabhat Jain, G. Edward Suh, Srinivas Devadas: Embedded intelligent SRAM. DAC 2003: 869-874
6EEBlaise Gassend, G. Edward Suh, Dwaine E. Clarke, Marten van Dijk, Srinivas Devadas: Caches and Hash Trees for Efficient Memory Integrity. HPCA 2003: 295-306
5EEG. Edward Suh, Dwaine E. Clarke, Blaise Gassend, Marten van Dijk, Srinivas Devadas: AEGIS: architecture for tamper-evident and tamper-resistant processing. ICS 2003: 160-171
4EEG. Edward Suh, Dwaine E. Clarke, Blaise Gassend, Marten van Dijk, Srinivas Devadas: Efficient Memory Integrity Verification and Encryption for Secure Processors. MICRO 2003: 339-350
2002
3EEG. Edward Suh, Srinivas Devadas, Larry Rudolph: A New Memory Monitoring Scheme for Memory-Aware Scheduling and Partitioning. HPCA 2002: 117-
2001
2EEG. Edward Suh, Srinivas Devadas, Larry Rudolph: Analytical cache models with applications to cache partitioning. ICS 2001: 1-12
1EEG. Edward Suh, Larry Rudolph, Srinivas Devadas: Effects of Memory Performance on Parallel Job Scheduling. JSSPP 2001: 116-132

Coauthor Index

1Chih-Chi Cheng [17]
2Myong Hyon Cho [17]
3Dwaine E. Clarke [4] [5] [6] [8] [13] [14]
4Srinivas Devadas [1] [2] [3] [4] [5] [6] [7] [8] [9] [10] [11] [12] [13] [14] [15] [16] [17]
5Marten van Dijk [4] [5] [6] [8] [11] [13] [14]
6Blaise Gassend [4] [5] [6] [8] [11] [13] [14]
7Prabhat Jain [7]
8Michel Kinsy [17]
9Jae W. Lee [10] [11]
10Daihyun Lim [11]
11Charles W. O'Donnell [12] [15]
12Larry Rudolph [1] [2] [3] [9]
13Ishan Sachdev [12]
14Ajay Sudan [13]
15David Zhang (David Dapeng Zhang) [10]

Copyright © Sun May 17 03:24:02 2009 by Michael Ley (ley@uni-trier.de)