dblp.uni-trier.dewww.uni-trier.de

Glenn Reinman

List of publications from the DBLP Bibliography Server - FAQ
Coauthor Index - Ask others: ACM DL/Guide - CiteSeer - CSB - Google - MSN - Yahoo

2008
37EEM. Frank Chang, Jason Cong, Adam Kaplan, Mishali Naik, Glenn Reinman, Eran Socher, Sai-Wang Tam: CMP network-on-chip overlaid with multi-band RF-interconnect. HPCA 2008: 191-202
36EEJason Cong, Karthik Gururaj, Guoling Han, Adam Kaplan, Mishali Naik, Glenn Reinman: MC-Sim: an efficient simulation tool for MPSoC designs. ICCAD 2008: 364-371
35EEM.-C. Frank Chang, Eran Socher, Sai-Wang Tam, Jason Cong, Glenn Reinman: RF interconnects for communications on-chip. ISPD 2008: 78-83
34EEM.-C. Frank Chang, Jason Cong, Adam Kaplan, Chunyue Liu, Mishali Naik, Jagannath Premkumar, Glenn Reinman, Eran Socher, Sai-Wang Tam: Power reduction of CMP communication networks via RF-interconnects. MICRO 2008: 376-387
33EEShawn Singh, Mishali Naik, Mubbasir Kapadia, Petros Faloutsos, Glenn Reinman: Watch Out! A Framework for Evaluating Steering Behaviors. MIG 2008: 200-209
32EEYuchun Ma, Yongxiang Liu, Eren Kursun, Glenn Reinman, Jason Cong: Investigating the effects of fine-grain three-dimensional integration on microarchitecture design. JETC 4(4): (2008)
2007
31EEYuchun Ma, Zhuoyuan Li, Jason Cong, Xianlong Hong, Glenn Reinman, Sheqin Dong, Qiang Zhou: Micro-architecture Pipelining Optimization with Throughput-Aware Floorplanning. ASP-DAC 2007: 920-925
30EEYongxiang Liu, Yuchun Ma, Eren Kursun, Glenn Reinman, Jason Cong: Fine grain 3D integration for microarchitecture design through cube packing exploration. ICCD 2007: 259-266
29EEThomas Y. Yeh, Petros Faloutsos, Sanjay J. Patel, Glenn Reinman: ParallAX: an architecture for real-time physics. ISCA 2007: 232-243
28EEVasily G. Moshnyaga, Hua Vo, Glenn Reinman, Miodrag Potkonjak: Reducing Energy of DRAM/Flash Memory System by OS-controlled Data Refresh. ISCAS 2007: 2108-2111
27EEThomas Y. Yeh, Petros Faloutsos, Milos Ercegovac, Sanjay J. Patel, Glenn Reinman: The Art of Deception: Adaptive Precision Reduction for Area Efficient Physics Acceleration. MICRO 2007: 394-406
26EEJason Cong, Guoling Han, Ashok Jagannathan, Glenn Reinman, Krzysztof Rutkowski: Accelerating Sequential Applications on CMPs Using Core Spilling. IEEE Trans. Parallel Distrib. Syst. 18(8): 1094-1107 (2007)
2006
25EEJason Cong, Ashok Jagannathan, Yuchun Ma, Glenn Reinman, Jie Wei, Yan Zhang: An automated design flow for 3D microarchitecture evaluation. ASP-DAC 2006: 384-389
24EEAnahita Shayesteh, Glenn Reinman, Norman P. Jouppi, Timothy Sherwood, Suleyman Sair: Improving the performance and power efficiency of shared helpers in CMPs. CASES 2006: 345-356
23EEVasily G. Moshnyaga, Hoa Vo, Glenn Reinman, Miodrag Potkonjak: Handheld System Energy Reduction by OS-Driven Refresh. PATMOS 2006: 24-35
2005
22EEAshok Jagannathan, Hannah Honghua Yang, Kris Konigsfeld, Dan Milliron, Mosur Mohan, Michail Romesis, Glenn Reinman, Jason Cong: Microarchitecture evaluation with floorplanning and interconnect pipelining. ASP-DAC 2005: 8-15
21EEThomas Y. Yeh, Glenn Reinman: Fast and fair: data-stream quality of service. CASES 2005: 237-248
20EEJason Cong, Yiping Fan, Guoling Han, Ashok Jagannathan, Glenn Reinman, Zhiru Zhang: Instruction set extension with shadow registers for configurable processors. FPGA 2005: 99-106
19EEAnahita Shayesteh, Eren Kursun, Timothy Sherwood, Suleyman Sair, Glenn Reinman: Reducing the Latency and Area Cost of Core Swapping through Shared Helper Engines. ICCD 2005: 17-23
18EEYongxiang Liu, Gokhan Memik, Glenn Reinman: Reducing the Energy of Speculative Instruction Schedulers. ICCD 2005: 641-646
17EEYongxiang Liu, Anahita Shayesteh, Gokhan Memik, Glenn Reinman: Tornado warning: the perils of selective replay in multithreaded processors. ICS 2005: 51-60
16EEJason Cong, Ashok Jagannathan, Glenn Reinman, Yuval Tamir: Understanding the energy efficiency of SMT and CMP with multiclustering. ISLPED 2005: 48-53
15EEAnahita Shayesteh, Glenn Reinman, Norman P. Jouppi, Suleyman Sair, Timothy Sherwood: Dynamically configurable shared CMP helper engines for improved performance. SIGARCH Computer Architecture News 33(4): 70-79 (2005)
2004
14EEYongxiang Liu, Anahita Shayesteh, Gokhan Memik, Glenn Reinman: Scaling the issue window with look-ahead latency prediction. ICS 2004: 217-226
13EEEren Kursun, Glenn Reinman, Suleyman Sair, Anahita Shayesteh, Timothy Sherwood: Low-Overhead Core Swapping for Thermal Management. PACS 2004: 46-60
12EEGlenn Reinman, Brad Calder: Using a serial cache for energy efficient instruction fetching. Journal of Systems Architecture 50(11): 675-685 (2004)
2003
11EEJason Cong, Ashok Jagannathan, Glenn Reinman, Michail Romesis: Microarchitecture evaluation with physical planning. DAC 2003: 32-35
10EEGokhan Memik, Glenn Reinman, William H. Mangione-Smith: Just Say No: Benefits of Early Cache Miss Determinatio. HPCA 2003: 307-316
9EEGokhan Memik, Glenn Reinman, William H. Mangione-Smith: Reducing energy and delay using efficient victim caches. ISLPED 2003: 262-265
2002
8EEGlenn Reinman, Brad Calder, Todd M. Austin: High Performance and Energy Efficient Serial Prefetch Architecture. ISHPC 2002: 146-159
2001
7EEGlenn Reinman, Brad Calder, Todd M. Austin: Optimizations Enabled by a Decoupled Front-End Architecture. IEEE Trans. Computers 50(4): 338-355 (2001)
2000
6EEBrad Calder, Glenn Reinman: A Comparative Survey of Load Speculation Architectures. J. Instruction-Level Parallelism 2: (2000)
1999
5EEGlenn Reinman, Todd M. Austin, Brad Calder: A Scalable Front-End Architecture for Fast Instruction Delivery. ISCA 1999: 234-245
4EEBrad Calder, Glenn Reinman, Dean M. Tullsen: Selective Value Prediction. ISCA 1999: 64-74
3EEGlenn Reinman, Brad Calder, Dean M. Tullsen, Gary S. Tyson, Todd M. Austin: Classifying load and store instructions for memory renaming. International Conference on Supercomputing 1999: 399-407
2EEGlenn Reinman, Brad Calder, Todd M. Austin: Fetch Directed Instruction Prefetching. MICRO 1999: 16-27
1998
1EEGlenn Reinman, Brad Calder: Predictive Techniques for Aggressive Load Speculation. MICRO 1998: 127-137

Coauthor Index

1Todd M. Austin [2] [3] [5] [7] [8]
2Brad Calder [1] [2] [3] [4] [5] [6] [7] [8] [12]
3M. Frank Chang [37]
4Mau-Chung Frank Chang (M.-C. Frank Chang) [34] [35]
5Jason Cong [11] [16] [20] [22] [25] [26] [30] [31] [32] [34] [35] [36] [37]
6Sheqin Dong [31]
7Milos Ercegovac [27]
8Petros Faloutsos [27] [29] [33]
9Yiping Fan [20]
10Karthik Gururaj [36]
11Guoling Han [20] [26] [36]
12Xianlong Hong [31]
13Ashok Jagannathan [11] [16] [20] [22] [25] [26]
14Norman P. Jouppi [15] [24]
15Mubbasir Kapadia [33]
16Adam Kaplan [34] [36] [37]
17Kris Konigsfeld [22]
18Eren Kursun [13] [19] [30] [32]
19Zhuoyuan Li [31]
20Chunyue Liu [34]
21Yongxiang Liu [14] [17] [18] [30] [32]
22Yuchun Ma [25] [30] [31] [32]
23William H. Mangione-Smith [9] [10]
24Gokhan Memik [9] [10] [14] [17] [18]
25Dan Milliron [22]
26Mosur Mohan [22]
27Vasily G. Moshnyaga [23] [28]
28Mishali Naik [33] [34] [36] [37]
29Sanjay J. Patel [27] [29]
30Miodrag Potkonjak [23] [28]
31Jagannath Premkumar [34]
32Michail Romesis [11] [22]
33Krzysztof Rutkowski [26]
34Suleyman Sair [13] [15] [19] [24]
35Anahita Shayesteh [13] [14] [15] [17] [19] [24]
36Timothy Sherwood [13] [15] [19] [24]
37Shawn Singh [33]
38Eran Socher [34] [35] [37]
39Sai-Wang Tam [34] [35] [37]
40Yuval Tamir [16]
41Dean M. Tullsen [3] [4]
42Gary S. Tyson [3]
43Hoa Vo [23]
44Hua Vo [28]
45Jie Wei [25]
46Hannah Honghua Yang (Honghua Yang) [22]
47Thomas Y. Yeh [21] [27] [29]
48Yan Zhang [25]
49Zhiru Zhang [20]
50Qiang Zhou [31]

Copyright © Sun May 17 03:24:02 2009 by Michael Ley (ley@uni-trier.de)