dblp.uni-trier.dewww.uni-trier.de

Chin-Ngai Sze

List of publications from the DBLP Bibliography Server - FAQ
Coauthor Index - Ask others: ACM DL/Guide - CiteSeer - CSB - Google - MSN - Yahoo

2008
6EETao Luo, David A. Papa, Zhuo Li, Chin-Ngai Sze, Charles J. Alpert, David Z. Pan: Pyramids: an efficient computational geometry-based approach for timing-driven placement. ICCAD 2008: 204-211
5EEDavid A. Papa, Tao Luo, Michael D. Moffitt, Chin-Ngai Sze, Zhuo Li, Gi-Joon Nam, Charles J. Alpert, Igor L. Markov: RUMBLE: an incremental, timing-driven, physical-synthesis optimization algorithm. ISPD 2008: 2-9
4EEDavid A. Papa, Tao Luo, Michael D. Moffitt, Chin-Ngai Sze, Zhuo Li, Gi-Joon Nam, Charles J. Alpert, Igor L. Markov: RUMBLE: An Incremental Timing-Driven Physical-Synthesis Optimization Algorithm. IEEE Trans. on CAD of Integrated Circuits and Systems 27(12): 2156-2168 (2008)
2007
3EEShiyan Hu, Charles J. Alpert, Jiang Hu, Shrirang K. Karandikar, Zhuo Li, Weiping Shi, Chin-Ngai Sze: Fast Algorithms for Slew-Constrained Minimum Cost Buffering. IEEE Trans. on CAD of Integrated Circuits and Systems 26(11): 2009-2022 (2007)
2EEChin-Ngai Sze, Charles J. Alpert, Jiang Hu, Weiping Shi: Path-Based Buffer Insertion. IEEE Trans. on CAD of Integrated Circuits and Systems 26(7): 1346-1355 (2007)
2005
1EEYongqiang Lu, Chin-Ngai Sze, Xianlong Hong, Qiang Zhou, Yici Cai, Liang Huang, Jiang Hu: Navigating Register Placement for Low Power Clock Network Design. IEICE Transactions 88-A(12): 3405-3411 (2005)

Coauthor Index

1Charles J. Alpert [2] [3] [4] [5] [6]
2Yici Cai [1]
3Xianlong Hong [1]
4Jiang Hu [1] [2] [3]
5Shiyan Hu [3]
6Liang Huang [1]
7Shrirang K. Karandikar [3]
8Zhuo Li [3] [4] [5] [6]
9Yongqiang Lu [1]
10Tao Luo [4] [5] [6]
11Igor L. Markov [4] [5]
12Michael D. Moffitt [4] [5]
13Gi-Joon Nam [4] [5]
14David Z. Pan (David Zhigang Pan) [6]
15David A. Papa [4] [5] [6]
16Weiping Shi [2] [3]
17Qiang Zhou [1]

Copyright © Sun May 17 03:24:02 2009 by Michael Ley (ley@uni-trier.de)