Fabrizio Lombardi, Sanjukta Bhanja, Yehia Massoud, R. Iris Bahar (Eds.):
Proceedings of the 19th ACM Great Lakes Symposium on VLSI 2009, Boston Area, MA, USA, May 10-12 2009.
ACM 2009, ISBN 978-1-60558-522-2 BibTeX
VLSI design
- José Manuel Velasco, David Atienza, Katzalin Olcoz:
Exploration of memory hierarchy configurations for efficient garbage collection on high-performance embedded systems.
3-8
Electronic Edition (ACM DL) BibTeX
- Emre Salman, Renatas Jakushokas, Eby G. Friedman, Radu M. Secareanu, Olin L. Hartin:
Contact merging algorithm for efficient substrate noise analysis in large scale circuits.
9-14
Electronic Edition (ACM DL) BibTeX
- Renatas Jakushokas, Eby G. Friedman:
Simultaneous shield and repeater insertion.
15-20
Electronic Edition (ACM DL) BibTeX
- Marco D. Santambrogio, Massimo Redaelli, Marco Maggioni:
Task graph scheduling for reconfigurable architectures driven by reconfigurations hiding and resources reuse.
21-26
Electronic Edition (ACM DL) BibTeX
- Keisuke Inoue, Mineo Kaneko, Tsuyoshi Iwagaki:
Safe clocking for the setup and hold timing constraints in datapath synthesis.
27-32
Electronic Edition (ACM DL) BibTeX
Low power
- Yoonjin Kim, Rabi N. Mahapatra:
Dynamic context management for low power coarse-grained reconfigurable architecture.
33-38
Electronic Edition (ACM DL) BibTeX
- Wan-Yu Lee, Iris Hui-Ru Jiang:
VIFI-CMP: variability-tolerant chip-multiprocessors for throughput and power.
39-44
Electronic Edition (ACM DL) BibTeX
- Houman Zarrabi, Asim J. Al-Khalili, Yvon Savaria:
An interconnect-aware delay model for dynamic voltage scaling in NM technologies.
45-50
Electronic Edition (ACM DL) BibTeX
- Bei Yu, Sheqin Dong, Satoshi Goto, Song Chen:
Voltage-island driven floorplanning considering level-shifter positions.
51-56
Electronic Edition (ACM DL) BibTeX
- Palanichamy Manikandan, Bjørn B. Larsen, Einar J. Aas:
Design of novel CAM core cell structures for an efficient implementation of low power BCAM system.
57-62
Electronic Edition (ACM DL) BibTeX
- Kunal P. Ganeshpure, Ilia Polian, Sandip Kundu, Bernd Becker:
Reducing temperature variability by routing heat pipes.
63-68
Electronic Edition (ACM DL) BibTeX
Testing
- Suraj Sindia, Virendra Singh, Vishwani D. Agrawal:
Polynomial coefficient based DC testing of non-linear analog circuits.
69-74
Electronic Edition (ACM DL) BibTeX
- Yann Oddos, Katell Morin-Allory, Dominique Borrione, Marc Boule, Zeljko Zilic:
MYGEN: automata-based on-line test generator for assertion-based verification.
75-80
Electronic Edition (ACM DL) BibTeX
- Brendan Mullane, Ciaran MacNamee, Vincent O'Brien, Thomas Fleischmann:
An on-chip solution for static ADC test and measurement.
81-86
Electronic Edition (ACM DL) BibTeX
- Giovanni Beltrame, Cristiana Bolchini, Antonio Miele:
Multi-level fault modeling for transaction-level specifications.
87-92
Electronic Edition (ACM DL) BibTeX
- Irith Pomeranz, Sudhakar M. Reddy:
Partitioned n-detection test generation.
93-98
Electronic Edition (ACM DL) BibTeX
- Jacob White:
Design tools for emerging technologies.
99-100
Electronic Edition (ACM DL) BibTeX
Poster session 1
- Syed Z. Shazli, Mehdi Baradaran Tahoori:
Soft error rate computation in early design stages using boolean satisfiability.
101-104
Electronic Edition (ACM DL) BibTeX
- Irith Pomeranz, Sudhakar M. Reddy:
Definition and application of approximate necessary assignments.
105-108
Electronic Edition (ACM DL) BibTeX
- Yusuf Osmanlioglu, Y. Onur Koçberber, Oguz Ergin:
Reducing parity generation latency through input value aware circuits.
109-112
Electronic Edition (ACM DL) BibTeX
- Young Hoon Kang, Jeff Sondeen, Jeffrey T. Draper:
Multicast routing with dynamic packet fragmentation.
113-116
Electronic Edition (ACM DL) BibTeX
- Jue Wang, Beihua Ying, Yongpan Liu, Huazhong Yang, Hui Wang:
Energy efficient architecture of sensor network node based on compression accelerator.
117-120
Electronic Edition (ACM DL) BibTeX
- Theocharis Theocharides, Maria K. Michael, Marios M. Polycarpou, Ajit Dingankar:
Towards embedded runtime system level optimization for MPSoCs: on-chip task allocation.
121-124
Electronic Edition (ACM DL) BibTeX
- Daniele Ludovici, Georgi Nedeltchev Gaydadjiev, Davide Bertozzi, Luca Benini:
Capturing topology-level implications of link synthesis techniques for nanoscale networks-on-chip.
125-128
Electronic Edition (ACM DL) BibTeX
- Henrique Cota de Freitas, Philippe Olivier Alexandre Navaux:
On the design of reconfigurable crossbar switch for adaptable on-chip topologies in programmable NoC routers.
129-132
Electronic Edition (ACM DL) BibTeX
- Cheng-Hung Lin, Hsien-Sheng Hsiao:
Hierarchical state machine architecture for regular expression pattern matching.
133-136
Electronic Edition (ACM DL) BibTeX
- Michael Kadin, Sherief Reda, Augustus K. Uht:
Central vs. distributed dynamic thermal management for multi-core processors: which one is better?
137-140
Electronic Edition (ACM DL) BibTeX
- Cesare Ferri, R. Iris Bahar, Mirko Loghi, Massimo Poncino:
Energy-optimal synchronization primitives for single-chip multi-processors.
141-144
Electronic Edition (ACM DL) BibTeX
- Basab Datta, Wayne P. Burleson:
Low-power, process-variation tolerant on-chip thermal monitoring using track and hold based thermal sensors.
145-148
Electronic Edition (ACM DL) BibTeX
- Balasubramanian Sethuraman, Ranga Vemuri:
A methodology for application-specific NoC architecture generation in a dynamic task structure environment.
149-152
Electronic Edition (ACM DL) BibTeX
- Mehdi Baradaran Tahoori:
BISM: built-in self map for hybrid crossbar nano-architectures.
153-156
Electronic Edition (ACM DL) BibTeX
- Harika Manem, Garrett S. Rose:
The effects of logic partitioning in a majority logic based CMOS-NANO FPGA.
157-160
Electronic Edition (ACM DL) BibTeX
- Aarti Choudhary, Sandip kundu:
A process variation tolerant self-compensating FinFET based sense amplifier design.
161-164
Electronic Edition (ACM DL) BibTeX
- Vikas Kaushal, Quentin Diduck, Martin Margala:
Study of leakage current mechanisms in ballistic deflection transistors.
165-168
Electronic Edition (ACM DL) BibTeX
- Karthik Duraisami, Enrico Macii, Massimo Poncino:
Using soft-edge flip-flops to compensate NBTI-induced delay degradation.
169-172
Electronic Edition (ACM DL) BibTeX
- Daniel Große, Robert Wille, Ulrich Kühne, Rolf Drechsler:
Contradictory antecedent debugging in bounded model checking.
173-176
Electronic Edition (ACM DL) BibTeX
- MyeongGyu Jeong, Toru Nakura, Makoto Ikeda, Kunihiro Asada:
Moebius circuit: dual-rail dynamic logic for logic gate level pipeline with error gate search feature.
177-180
Electronic Edition (ACM DL) BibTeX
- Yongji Jiang, Garrett S. Rose:
A dual-MOSFET equivalent resistor thermal sensor.
181-184
Electronic Edition (ACM DL) BibTeX
Physical level optimization
- Ali Jahanian, Morteza Saheb Zamani:
Improved performance and yield with chip master planning design methodology.
185-190
Electronic Edition (ACM DL) BibTeX
- Renshen Wang, Chung-Kuan Cheng:
Octilinear redistributive routing in bump arrays.
191-196
Electronic Edition (ACM DL) BibTeX
- Bo-Zhou Chen, Hung-Ming Chen, Li-Da Huang, Po-Cheng Pan:
A stochastic-based efficient critical area extractor on OpenAccess platform.
197-202
Electronic Edition (ACM DL) BibTeX
- Raghuram Srinivasan, Harold W. Carter:
A taylor series methodology for analyzing the effects of process variation on circuit operation.
203-208
Electronic Edition (ACM DL) BibTeX
- Jing Li, Bo Yang, Xiaochuan Hu, Qing Dong, Shigetoshi Nakatake:
STI stress aware placement optimization based on geometric programming.
209-214
Electronic Edition (ACM DL) BibTeX
VLSI circuits
Emerging technology and post-CMOS
- Qi Wu, Jian-Qiang Lu, Kenneth Rose, Tong Zhang:
Efficient implementation of decoupling capacitors in 3D processor-dram integrated computing systems.
245-250
Electronic Edition (ACM DL) BibTeX
- Roto Le, Sherief Reda, R. Iris Bahar:
High-performance, cost-effective heterogeneous 3D FPGA architectures.
251-256
Electronic Edition (ACM DL) BibTeX
- Renshen Wang, Chung-Kuan Cheng:
On the complexity of graph cuboidal dual problems for 3-D floorplanning of integrated circuit design.
257-262
Electronic Edition (ACM DL) BibTeX
- Vasilis F. Pavlidis, Giovanni De Micheli:
Power distribution paths in 3-D ICS.
263-268
Electronic Edition (ACM DL) BibTeX
- Shinya Kubota, Minoru Watanabe:
A nine-context programmable optically reconfigurable gate array with semiconductor lasers.
269-274
Electronic Edition (ACM DL) BibTeX
- Rajeev K. Dokania, Alyssa B. Apsel:
Analysis of challenges for on-chip optical interconnects.
275-280
Electronic Edition (ACM DL) BibTeX
- Eby G. Friedman:
Design challenges in high performance three-dimensional circuits.
281-282
Electronic Edition (ACM DL) BibTeX
- Pinaki Mazumder:
Disruptive technologies and neurally-inspired architectures.
283-284
Electronic Edition (ACM DL) BibTeX
Low power
- Inna Vaisband, Ran Ginosar, Avinoam Kolodny, Eby G. Friedman:
Power efficient tree-based crosslinks for skew reduction.
285-290
Electronic Edition (ACM DL) BibTeX
- Lara D. Oliver, Krishnendu Chakrabarty, Hisham Z. Massoud:
Dual-threshold pass-transistor logic design.
291-296
Electronic Edition (ACM DL) BibTeX
- Colin J. Ihrig, Gerold Joseph Dhanabalan, Alex K. Jones:
A low-power CMOS thyristor based delay element with programmability extensions.
297-302
Electronic Edition (ACM DL) BibTeX
- Dhruva Ghai, Saraju P. Mohanty, Elias Kougianos:
Unified P4 (power-performance-process-parasitic) fast optimization of a Nano-CMOS VCO.
303-308
Electronic Edition (ACM DL) BibTeX
- Khawla Alzoubi, Daniel G. Saab, Massood Tabib-Azar:
Complementary nano-electromechanical switches for ultra-low power embedded processors.
309-314
Electronic Edition (ACM DL) BibTeX
System- and architectural-level optimization
- Xin Li, Weikang Qian, Marc D. Riedel, Kia Bazargan, David J. Lilja:
A reconfigurable stochastic architecture for highly reliable computing.
315-320
Electronic Edition (ACM DL) BibTeX
- Chetan Murthy, Prabhat Mishra:
Bitmask-based control word compression for NISC architectures.
321-326
Electronic Edition (ACM DL) BibTeX
- Taemin Kim, Xun Liu:
Better than optimum?: register reduction using idle pipelined functional units.
327-332
Electronic Edition (ACM DL) BibTeX
- Andrea Calimera, Enrico Macii, Massimo Poncino:
NBTI-aware sleep transistor design for reliable power-gating.
333-338
Electronic Edition (ACM DL) BibTeX
- Di Wang, Vyas Venkataraman, Zhen Wang, Wei Qin, Hangsheng Wang, Mrinal Bose, Jayanta Bhadra:
Accelerating multi-party scheduling for transaction-level modeling.
339-344
Electronic Edition (ACM DL) BibTeX
Logic verification and optimization
Poster session 2
- Po-Yang Hsu, Shu-Ting Lee, Fu-Wei Chen, Yi-Yu Liu:
Buffer design and optimization for lut-based structured ASIC design styles.
377-380
Electronic Edition (ACM DL) BibTeX
- Masa-Aki Fukase, Atsuko Yokoyama, Tomoaki Sato:
A ubiquitous processor embedded with progressive cipher pipelines.
381-384
Electronic Edition (ACM DL) BibTeX
- Pratik J. Shah, Jiang Hu:
Impact of lithography-friendly circuit layout.
385-388
Electronic Edition (ACM DL) BibTeX
- Marshnil Vipin Dave, Maryam Shojaei Baghini, Dinesh Kumar Sharma:
A process variation tolerant, high-speed and low-power current mode signaling scheme for on-chip interconnects.
389-392
Electronic Edition (ACM DL) BibTeX
- Jin Cui, Douglas L. Maskell:
Dynamic thermal-aware scheduling on chip multiprocessor for soft real-time system.
393-396
Electronic Edition (ACM DL) BibTeX
- Yung-Chih Chen, Chun-Yao Wang:
Enhancing SAT-based sequential depth computation by pruning search space.
397-400
Electronic Edition (ACM DL) BibTeX
- Jin-Tai Yan, Zhi-Wei Chen:
RDL pre-assignment routing for flip-chip designs.
401-404
Electronic Edition (ACM DL) BibTeX
- Zhu Zhou, Dharmin Parikh, Pradnyesh Gudadhe, Arunabha Sen:
A novel mechanism to dynamically switch speed and accuracy in systemC based transaction level models.
405-408
Electronic Edition (ACM DL) BibTeX
- Jin-Tai Yan, Zhi-Wei Chen:
Redundant wire insertion for yield improvement.
409-412
Electronic Edition (ACM DL) BibTeX
- Qing Dong, Bo Yang, Jing Li, Shigetoshi Nakatake:
Incremental buffer insertion and module resizing algorithm using geometric programming.
413-416
Electronic Edition (ACM DL) BibTeX
- Hong-Zu Chou, I-Hui Lin, Ching-Sung Yang, Kai-Hui Chang, Sy-Yen Kuo:
Enhancing bug hunting using high-level symbolic simulation.
417-420
Electronic Edition (ACM DL) BibTeX
- Dario Cozzi, Claudia Farè, Alessandro Meroni, Vincenzo Rana, Marco D. Santambrogio, Donatella Sciuto:
Reconfigurable NoC design flow for multiple applications run-time mapping on FPGA devices.
421-424
Electronic Edition (ACM DL) BibTeX
- Abhranil Maiti, Raghunandan Nagesh, Anand Reddy, Patrick Schaumont:
Physical unclonable function and true random number generator: a compact and scalable implementation.
425-428
Electronic Edition (ACM DL) BibTeX
- Yanjie Peng, Andrew G. Klein, Xinming Huang:
Design of a maximum-likelihood detector for cooperative communications in intersymbol interference channels.
429-432
Electronic Edition (ACM DL) BibTeX
- Sohan Purohit, Sai Rahul Chalamalasetti, Martin Margala:
A 1.2v, 1.02 ghz 8 bit SIMD compatible highly parallel arithmetic data path for multi-precision arithmetic.
433-436
Electronic Edition (ACM DL) BibTeX
- Yifei Luo, Gang Chen, Kuan Zhou:
A picosecond TDC architecture for multiphase PLLs.
437-440
Electronic Edition (ACM DL) BibTeX
- Kalyana C. Bollapalli, Rajesh Garg, Kanupriya Gulati, Sunil P. Khatri:
Low power and high performance sram design using bank-based selective forward body bias.
441-444
Electronic Edition (ACM DL) BibTeX
VLSI design
- Yang Sun, Joseph R. Cavallaro:
High throughput VLSI architecture for soft-output mimo detection based on a greedy graph algorithm.
445-450
Electronic Edition (ACM DL) BibTeX
- Nariman Moezzi Madani, William Rhett Davis:
High-throughput low-complexity MIMO detector based on K-best algorithm.
451-456
Electronic Edition (ACM DL) BibTeX
- Josef B. Spjut, Andrew E. Kensler, Erik Brunvand:
Hardware-accelerated gradient noise for graphics.
457-462
Electronic Edition (ACM DL) BibTeX
- Yiqing Huang, Qin Liu, Satoshi Goto, Takeshi Ikenaga:
Reconfigurable SAD tree architecture based on adaptive sub-sampling in HDTV application.
463-468
Electronic Edition (ACM DL) BibTeX
- Ling Wang, Jianwen Zhang, Xiaoqing Yang, Dongxin Wen:
Router with centralized buffer for network-on-chip.
469-474
Electronic Edition (ACM DL) BibTeX
VLSI circuits
Testing
Tutorials
Copyright © Sat May 16 23:13:50 2009
by Michael Ley (ley@uni-trier.de)