dblp.uni-trier.dewww.uni-trier.de

Behnam Amelifard

List of publications from the DBLP Bibliography Server - FAQ
Coauthor Index - Ask others: ACM DL/Guide - CiteSeer - CSB - Google - MSN - Yahoo

2009
15EEBehnam Amelifard, Farzan Fallah, Massoud Pedram: Low-Power Fanout Optimization Using Multi Threshold Voltages and Multi Channel Lengths. IEEE Trans. on CAD of Integrated Circuits and Systems 28(4): 478-489 (2009)
2008
14EEHamed Abrishami, Safar Hatami, Behnam Amelifard, Massoud Pedram: NBTI-aware flip-flop characterization and design. ACM Great Lakes Symposium on VLSI 2008: 29-34
13EEBehnam Amelifard, Safar Hatami, Hanif Fatemi, Massoud Pedram: A Current Source Model for CMOS Logic Cells Considering Multiple Input Switching and Stack Effect. DATE 2008: 568-573
12EEMohammad Ghasemazar, Behnam Amelifard, Massoud Pedram: A mathematical solution to power optimal pipeline design by utilizing soft edge flip-flops. ISLPED 2008: 33-38
11EEBehnam Amelifard, Farzan Fallah, Massoud Pedram: Leakage Minimization of SRAM Cells in a Dual-Vt and Dual-Tox Technology. IEEE Trans. VLSI Syst. 16(7): 851-860 (2008)
2007
10EEBehnam Amelifard, Massoud Pedram: Optimal Selection of Voltage Regulator Modules in a Power Delivery Network. DAC 2007: 168-173
9EEBehnam Amelifard, Massoud Pedram: Design of an efficient power delivery network in an soc to enable dynamic power management. ISLPED 2007: 328-333
8EEHanif Fatemi, Behnam Amelifard, Massoud Pedram: Power optimal MTCMOS repeater insertion for global buses. ISLPED 2007: 98-103
2006
7EEBehnam Amelifard, Farzan Fallah, Massoud Pedram: Reducing the sub-threshold and gate-tunneling leakage of SRAM cells using Dual-Vt and Dual-Tox assignment. DATE 2006: 995-1000
6EEBehnam Amelifard, Farzan Fallah, Massoud Pedram: Low-power fanout optimization using MTCMOS and multi-Vt techniques. ISLPED 2006: 334-337
5EEBehnam Amelifard, Massoud Pedram, Farzan Fallah: Low-leakage SRAM Design with Dual V_t Transistors. ISQED 2006: 729-734
2005
4EEBehnam Amelifard, Ali Afzali-Kusha, Ahmad Khademzadeh: Enhancing the efficiency of cluster voltage scaling technique for low-power application. ISCAS (2) 2005: 1666-1669
3EEMohammad Taherzadeh-Sani, Ali Abbasian, Behnam Amelifard, Ali Afzali-Kusha: Modeling of MOS transistors based on genetic algorithm and simulated annealing. ISCAS (6) 2005: 6218-6221
2EEBehnam Amelifard, Farzan Fallah, Massoud Pedram: Low-power fanout optimization using multiple threshold voltage inverters. ISLPED 2005: 95-98
1EEBehnam Amelifard, Farzan Fallah, Massoud Pedram: Closing the Gap between Carry Select Adder and Ripple Carry Adder: A New Class Closing the Gap between Carry Select Adder and Ripple Carry Adder: A New Class of Low-Power High-Performance Adders. ISQED 2005: 148-152

Coauthor Index

1Ali Abbasian [3]
2Hamed Abrishami [14]
3Ali Afzali-Kusha [3] [4]
4Farzan Fallah [1] [2] [5] [6] [7] [11] [15]
5Hanif Fatemi [8] [13]
6Mohammad Ghasemazar [12]
7Safar Hatami [13] [14]
8Ahmad Khademzadeh [4]
9Massoud Pedram [1] [2] [5] [6] [7] [8] [9] [10] [11] [12] [13] [14] [15]
10Mohammad Taherzadeh-Sani [3]

Copyright © Sun May 17 03:24:02 2009 by Michael Ley (ley@uni-trier.de)