ISLPED 2008:
Bangalore,
India
Vijaykrishnan Narayanan, C. P. Ravikumar, Jörg Henkel, Ali Keshavarzi, Vojin G. Oklobdzija, Barry M. Pangrle (Eds.):
Proceedings of the 2008 International Symposium on Low Power Electronics and Design, 2008, Bangalore, India, August 11-13, 2008.
ACM 2008, ISBN 978-1-60558-109-5 BibTeX
Variation tolerant circuits
- Hiroshi Fuketa, Masanori Hashimoto, Yukio Mitsuyama, Takao Onoye:
Correlation verification between transistor variability model with body biasing and ring oscillation frequency in 90nm subthreshold circuits.
3-8
Electronic Edition (ACM DL) BibTeX
- Mingoo Seok, Dennis Sylvester, David Blaauw:
Optimal technology selection for minimizing energy and variability in low voltage applications.
9-14
Electronic Edition (ACM DL) BibTeX
- Hiroaki Suzuki, Masanori Kurimoto, Tadao Yamanaka, Hidehiro Takata, Hiroshi Makino, Hirofumi Shinohara:
Post-silicon programmed body-biasing platform suppressing device variability in 45 nm CMOS technology.
15-20
Electronic Edition (ACM DL) BibTeX
- Dong Jiao, Jie Gu, Pulkit Jain, Chris H. Kim:
Enhancing beneficial jitter using phase-shifted clock distribution.
21-26
Electronic Edition (ACM DL) BibTeX
Power optimization
- Hao Xu, Ranga Vemuri, Wen-Ben Jone:
Dynamic virtual ground voltage estimation for power gating.
27-32
Electronic Edition (ACM DL) BibTeX
- Mohammad Ghasemazar, Behnam Amelifard, Massoud Pedram:
A mathematical solution to power optimal pipeline design by utilizing soft edge flip-flops.
33-38
Electronic Edition (ACM DL) BibTeX
- Eunjoo Choi, Changsik Shin, Taewhan Kim, Youngsoo Shin:
Power-gating-aware high-level synthesis.
39-44
Electronic Edition (ACM DL) BibTeX
- Tai-Hsuan Wu, Lin Xie, Azadeh Davoodi:
A parallel and randomized algorithm for large-scale discrete dual-Vt assignment and continuous gate sizing.
45-50
Electronic Edition (ACM DL) BibTeX
- Ashoka Visweswara Sathanur, Luca Benini, Alberto Macii, Enrico Macii, Massimo Poncino:
Multiple power-gating domain (multi-VGND) architecture for improved leakage power reduction.
51-56
Electronic Edition (ACM DL) BibTeX
Power delivery and timing
- Pulkit Jain, Tae-Hyoung Kim, John Keane, Chris H. Kim:
A multi-story power delivery technique for 3D integrated circuits.
57-62
Electronic Edition (ACM DL) BibTeX
- Nathaniel J. Guilar, Erin G. Fong, Travis Kleeburg, Diego R. Yankelevich, Rajeevan Amirtharajah:
Energy harvesting photodiodes with integrated 2D diffractive storage capacitance.
63-68
Electronic Edition (ACM DL) BibTeX
- Charbel J. Akl, Magdy A. Bayoumi:
Reducing wakeup latency and energy of MTCMOS circuits via keeper insertion.
69-74
Electronic Edition (ACM DL) BibTeX
- Thomas Schmid, Jonathan Friedman, Zainul Charbiwala, Young H. Cho, Mani B. Srivastava:
Low-power high-accuracy timing systems for efficient duty cycling.
75-80
Electronic Edition (ACM DL) BibTeX
Variability-aware optimization
- Upavan Gupta, Nagarajan Ranganathan:
An expected-utility based approach to variation aware VLSI optimization under scarce information.
81-86
Electronic Edition (ACM DL) BibTeX
- Rouwaida Kanj, Rajiv V. Joshi, Zhou Li, Jente B. Kuang, Hung C. Ngo, Ying Zhou, Weiping Shi, Sani R. Nassif:
SRAM methodology for yield and power efficiency: per-element selectable supplies and memory reconfiguration schemes.
87-92
Electronic Edition (ACM DL) BibTeX
- Maziar Goudarzi, Tohru Ishihara:
Row/column redundancy to reduce SRAM leakage in presence of random within-die delay variation.
93-98
Electronic Edition (ACM DL) BibTeX
- Koustav Bhattacharya, Nagarajan Ranganathan:
Reliability-centric gate sizing with simultaneous optimization of soft error rate, delay and power.
99-104
Electronic Edition (ACM DL) BibTeX
- Cheng Zhuo, David Blaauw, Dennis Sylvester:
Variation-aware gate sizing and clustering for post-silicon optimized circuits.
105-110
Electronic Edition (ACM DL) BibTeX
Low voltage logic and memory
- Rami A. Abdallah, Naresh R. Shanbhag:
Error-resilient low-power Viterbi decoders.
111-116
Electronic Edition (ACM DL) BibTeX
- Taro Niiyama, Piao Zhe, Koichi Ishida, Masami Murakata, Makoto Takamiya, Takayasu Sakurai:
Increasing minimum operating voltage (VDDmin) with number of CMOS logic gates and experimental verification with up to 1Mega-stage ring oscillators.
117-122
Electronic Edition (ACM DL) BibTeX
- Mesut Meterelliyoz, Jaydeep P. Kulkarni, Kaushik Roy:
Thermal analysis of 8-T SRAM for nano-scaled technologies.
123-128
Electronic Edition (ACM DL) BibTeX
- Jiajing Wang, Satyanand Nalam, Benton H. Calhoun:
Analyzing static and dynamic write margin for nanometer SRAMs.
129-134
Electronic Edition (ACM DL) BibTeX
Tutorials
Panel
Adaptive algorithms for energy-efficient applications
- Feng Chen, Xiaodong Zhang:
Caching for bursts (C-Burst): let hard disks sleep well and work energetically.
141-146
Electronic Edition (ACM DL) BibTeX
- Muhammad Shafique, Lars Bauer, Jörg Henkel:
3-tier dynamically adaptive power-aware motion estimator for h.264/AVC video encoding.
147-152
Electronic Edition (ACM DL) BibTeX
- Karthik Kumar, Yamini Nimmagadda, Yu-Ju Hong, Yung-Hsiang Lu:
Energy conservation by adaptive feature loading for mobile content-based image retrieval.
153-158
Electronic Edition (ACM DL) BibTeX
- Younghyun Kim, Youngjin Cho, Naehyuck Chang, Chaitali Chakrabarti, Nam Ik Cho:
Extending the lifetime of media recorders constrained by battery and flash memory size.
159-164
Electronic Edition (ACM DL) BibTeX
Multi-core power optimization
Poster session
- Behnam Ghavami, Hossein Pedram:
Design of dual threshold voltages asynchronous circuits.
185-188
Electronic Edition (ACM DL) BibTeX
- Swaroop Ghosh, Jung Hwan Choi, Patrick Ndai, Kaushik Roy:
O2C: occasional two-cycle operations for dynamic thermal management in high performance in-order microprocessors.
189-192
Electronic Edition (ACM DL) BibTeX
- Shagun Bajoria, Vineet Kumar Singh, Raju Kunde, Chetan D. Parikh:
Low power high bandwidth amplifier with RC Miller and gain enhanced feedforward compensation.
193-196
Electronic Edition (ACM DL) BibTeX
- Yi-Shiang Lin, Dennis M. Sylvester:
Single stage static level shifter design for subthreshold to I/O voltage conversion.
197-200
Electronic Edition (ACM DL) BibTeX
- Madan Arvind, Bharadwaj Amrutur:
Power reduction in on-chip interconnection network by serialization.
201-204
Electronic Edition (ACM DL) BibTeX
- Shaobo Liu, Qinru Qiu, Qing Wu:
A probabilistic technique for full-chip leakage estimation.
205-208
Electronic Edition (ACM DL) BibTeX
- Jingyi Zhang, Qing Wu, Qinru Qiu:
Bus encoding for simultaneous delay and energy optimization.
209-212
Electronic Edition (ACM DL) BibTeX
- Michael Kadin, Sherief Reda:
Frequency planning for multi-core processors under thermal constraints.
213-216
Electronic Edition (ACM DL) BibTeX
- Andrea Calimera, R. Iris Bahar, Enrico Macii, Massimo Poncino:
Reducing leakage power by accounting for temperature inversion dependence in dual-Vt synthesized circuits.
217-220
Electronic Edition (ACM DL) BibTeX
- Niklas Lotze, Maurits Ortmanns, Yiannos Manoli:
Variability of flip-flop timing at sub-threshold voltages.
221-224
Electronic Edition (ACM DL) BibTeX
- Marshnil Vipin Dave, Maryam Shojaei Baghini, Dinesh Kumar Sharma:
Low power current mode receiver with inductive input impedance.
225-228
Electronic Edition (ACM DL) BibTeX
- Ravishankar Rao, Sarma B. K. Vrudhula, Krzysztof S. Berezowski:
Analytical results for design space exploration of multi-core processors employing thread migration.
229-232
Electronic Edition (ACM DL) BibTeX
- Elham Safi, Andreas Moshovos, Andreas G. Veneris:
A physical level study and optimization of CAM-based checkpointed register alias table.
233-236
Electronic Edition (ACM DL) BibTeX
Tutorials
Memory systems & special-purpose hardware
- Hamid Noori, Farhad Mehdipour, Koji Inoue, Kazuaki Murakami:
Enhancing energy efficiency of processor-based embedded systems through post-fabrication ISA extension.
241-246
Electronic Edition (ACM DL) BibTeX
- Avadh Patel, Kanad Ghose:
Energy-efficient MESI cache coherence with pro-active snoop filtering for multicore microprocessors.
247-252
Electronic Edition (ACM DL) BibTeX
- Jie Jin, Chi-Ying Tsui:
A low power layered decoding architecture for LDPC decoder implementation for IEEE 802.11n LDPC codes.
253-258
Electronic Edition (ACM DL) BibTeX
- Mehrdad Khatir, Amir Moradi, Alireza Ejlali, Mohammad T. Manzuri Shalmani, Mahmoud Salmasizadeh:
A secure and low-energy logic style using charge recovery approach.
259-264
Electronic Edition (ACM DL) BibTeX
- T. Venkata Kalyan, Madhu Mutyam:
Word-interleaved cache: an energy efficient data cache architecture.
265-270
Electronic Edition (ACM DL) BibTeX
Low-power challenges in analog and mixed-signal front-ends
- Kannan Aryaperumal Sankaragomathi, Manodipan Sahoo, Satyam Dwivedi, Bharadwaj S. Amrutur, Navakanta Bhat:
Optimal power and noise allocation for analog and digital sections of a low power radio receiver.
271-276
Electronic Edition (ACM DL) BibTeX
- Xuning Chen, Gu-Yeon Wei, Li-Shiuan Peh:
Design of low-power short-distance opto-electronic transceiver front-ends with scalable supply voltages and frequencies.
277-282
Electronic Edition (ACM DL) BibTeX
- Hamed Aminzadeh, Khalil Mafinezhad:
On the power efficiency of cascode compensation over Miller compensation in two-stage operational amplifiers.
283-288
Electronic Edition (ACM DL) BibTeX
- Jing-hu Li, Yu-nan Fu, Yong-sheng Wang:
A 1-V piecewise curvature-corrected CMOS bandgap reference.
289-294
Electronic Edition (ACM DL) BibTeX
- Depak Balemarthy, Roy Paily:
A 1.8/2.4-ghz dualband cmos low noise amplifier using miller capacitance tuning.
295-300
Electronic Edition (ACM DL) BibTeX
- Tahir Ghani:
Innovations to extend CMOS nano-transistors to the limit.
301-302
Electronic Edition (ACM DL) BibTeX
Panel
Industry session
Tutorial
Run-time power & thermal management
System-level power estimation
Tutorials
Microarchitectural techniques
- Niranjan Soundararajan, Narayanan Vijaykrishnan, Anand Sivasubramaniam:
Impact of dynamic voltage and frequency scaling on the architectural vulnerability of GALS architectures.
351-356
Electronic Edition (ACM DL) BibTeX
- Gu-Yeon Wei, David Brooks, Ali Durlov Khan, Xiaoyao Liang:
Instruction-driven clock scheduling with glitch mitigation.
357-362
Electronic Edition (ACM DL) BibTeX
- José González, Qiong Cai, Pedro Chaparro, Grigorios Magklis, Ryan Rakvic, Antonio González:
Thread fusion.
363-368
Electronic Edition (ACM DL) BibTeX
- Eric P. Villasenor, Daeho Seo, Mithuna Thottethodi:
Power-efficient clustering via incomplete bypassing.
369-374
Electronic Edition (ACM DL) BibTeX
- Ali Mahjur, Mahmud Taghizadeh, Amir-Hossein Jahangir:
Lazy instruction scheduling: keeping performance, reducing power.
375-380
Electronic Edition (ACM DL) BibTeX
- Todd M. Austin:
On the rules of low-power design (and how to break them).
381-382
Electronic Edition (ACM DL) BibTeX
- Takayasu Sakurai:
Next-generation power-aware design.
383-384
Electronic Edition (ACM DL) BibTeX
Copyright © Sat May 16 23:25:42 2009
by Michael Ley (ley@uni-trier.de)