dblp.uni-trier.dewww.uni-trier.de

Cheng-Ta Hsieh

List of publications from the DBLP Bibliography Server - FAQ
Coauthor Index - Ask others: ACM DL/Guide - CiteSeer - CSB - Google - MSN - Yahoo

2002
16EECheng-Ta Hsieh, Massoud Pedram: Architectural energy optimization by bus splitting. IEEE Trans. on CAD of Integrated Circuits and Systems 21(4): 408-414 (2002)
2001
15EECheng-Ta Hsieh, L. Chen, Massoud Pedram: Microprocessor power analysis by labeled simulation. DATE 2001: 182-189
2000
14EECheng-Ta Hsieh, Massoud Pedram: Architectural Power Optimization by Bus Splitting. DATE 2000: 612-611
13 Wei Chen, Cheng-Ta Hsieh, Massoud Pedram: Simultaneous Gate Sizing and Fanout Optimization. ICCAD 2000: 374-378
12EEChih-Shun Ding, Cheng-Ta Hsieh, Massoud Pedram: Improving the efficiency of Monte Carlo power estimation [VLSI]. IEEE Trans. VLSI Syst. 8(5): 584-593 (2000)
11EEWei Chen, Cheng-Ta Hsieh, Massoud Pedram: Simultaneous gate sizing and placement. IEEE Trans. on CAD of Integrated Circuits and Systems 19(2): 206-214 (2000)
1999
10EEWei Chen, Cheng-Ta Hsieh, Massoud Pedram: Gate sizing with controlled displacement. ISPD 1999: 127-132
1998
9EEChih-Shun Ding, Cheng-Ta Hsieh, Massoud Pedram: Improving sampling efficiency for system level power estimation. ISLPED 1998: 115-117
8EECheng-Ta Hsieh, Massoud Pedram: Microprocessor power estimation using profile-driven program synthesis. IEEE Trans. on CAD of Integrated Circuits and Systems 17(11): 1080-1089 (1998)
7EEChih-Shun Ding, Qing Wu, Cheng-Ta Hsieh, Massoud Pedram: Stratified random sampling for power estimation. IEEE Trans. on CAD of Integrated Circuits and Systems 17(6): 465-471 (1998)
1997
6EEChih-Shun Ding, Qing Wu, Cheng-Ta Hsieh, Massoud Pedram: Statistical Estimation of the Cumulative Distribution Function for Power Dissipation in VLSI Cirucits. DAC 1997: 371-376
5EECheng-Ta Hsieh, Massoud Pedram, Gaurav Mehta, Fred Rastgar: Profile-Driven Program Synthesis for Evaluation of System Power Dissipation. DAC 1997: 576-581
1996
4EEChih-Shun Ding, Cheng-Ta Hsieh, Qing Wu, Massoud Pedram: Stratified random sampling for power estimation. ICCAD 1996: 576-582
3EECheng-Ta Hsieh, Qing Wu, Chih-Shun Ding, Massoud Pedram: Statistical sampling and regression analysis for RT-level power evaluation. ICCAD 1996: 583-588
2EEPeter A. Beerel, Cheng-Ta Hsieh, Suhrid A. Wadekar: Estimation of energy consumption in speed-independent control circuits. IEEE Trans. on CAD of Integrated Circuits and Systems 15(6): 672-680 (1996)
1995
1EEPeter A. Beerel, Cheng-Ta Hsieh, Suhrid A. Wadekar: Estimation of energy consumption in speed-independent control circuits. ISLPD 1995: 39-44

Coauthor Index

1Peter A. Beerel [1] [2]
2L. Chen [15]
3Wei Chen [10] [11] [13]
4Chih-Shun Ding [3] [4] [6] [7] [9] [12]
5Gaurav Mehta [5]
6Massoud Pedram [3] [4] [5] [6] [7] [8] [9] [10] [11] [12] [13] [14] [15] [16]
7Fred Rastgar [5]
8Suhrid A. Wadekar [1] [2]
9Qing Wu [3] [4] [6] [7]

Colors in the list of coauthors

Copyright © Sun May 17 03:24:02 2009 by Michael Ley (ley@uni-trier.de)