dblp.uni-trier.dewww.uni-trier.de

Mark Horowitz

List of publications from the DBLP Bibliography Server - FAQ
Coauthor Index - Ask others: ACM DL/Guide - CiteSeer - CSB - Google - MSN - Yahoo
Home Page

2009
80EEChaomei Chen, Yue Chen, Mark Horowitz, Haiyan Hou, Zeyuan Liu, Don Pellegrino: Towards an explanatory and computational theory of scientific discovery CoRR abs/0904.1439: (2009)
2008
79EEOmid Azizi, Jamison D. Collins, Dinesh Patil, Hong Wang, Mark Horowitz: Processor Performance Modeling using Symbolic Simulation. ISPASS 2008: 127-138
78EEOfer Shacham, Megan Wachs, Alex Solomatnikov, Amin Firoozshahian, Stephen Richardson, Mark Horowitz: Verification of chip multiprocessor memory systems using a relaxed scoreboard. MICRO 2008: 294-305
77EERobert Kunz, Mark Horowitz: The case for simple, visible cache coherency. MSPC 2008: 31-35
76EEJacob Leverich, Hideho Arakida, Alex Solomatnikov, Amin Firoozshahian, Mark Horowitz, Christos Kozyrakis: Comparative evaluation of memory models for chip multiprocessors. TACO 5(3): (2008)
2007
75EEAlex Solomatnikov, Amin Firoozshahian, Wajahat Qadeer, Ofer Shacham, Kyle Kelley, Zain Asgar, Megan Wachs, Rehan Hameed, Mark Horowitz: Chip Multi-Processor Generator. DAC 2007: 262-263
74EEDinesh Patil, Omid Azizi, Mark Horowitz, Ron Ho, Rajesh Ananthraman: Robust Energy-Efficient Adder Topologies. IEEE Symposium on Computer Arithmetic 2007: 16-28
73EEJacob Leverich, Hideho Arakida, Alex Solomatnikov, Amin Firoozshahian, Mark Horowitz, Christos Kozyrakis: Comparing memory systems for chip multiprocessors. ISCA 2007: 358-368
72EEMark Horowitz: Scaling, Power and the Future of CMOS. VLSI Design 2007: 23
71EEEino-Ville Talvala, Andrew Adams, Mark Horowitz, Marc Levoy: Veiling glare in high dynamic range imaging. ACM Trans. Graph. 26(3): 37 (2007)
2006
70EEMarc Levoy, Ren Ng, Andrew Adams, Matthew Footer, Mark Horowitz: Light field microscopy. ACM Trans. Graph. 25(3): 924-934 (2006)
2005
69EEDinesh Patil, Sunghee Yun, Seung-Jean Kim, Alvin Cheung, Mark Horowitz, Stephen P. Boyd: A New Method for Design of Robust Digital Circuits. ISQED 2005: 676-681
68EEPradeep Sen, Billy Chen, Gaurav Garg, Stephen R. Marschner, Mark Horowitz, Marc Levoy, Hendrik P. A. Lensch: Dual photography. ACM Trans. Graph. 24(3): 745-755 (2005)
67EEBennett Wilburn, Neel Joshi, Vaibhav Vaish, Eino-Ville Talvala, Emilio R. Antúnez, Adam Barth, Andrew Adams, Mark Horowitz, Marc Levoy: High performance imaging using large camera arrays. ACM Trans. Graph. 24(3): 765-776 (2005)
66EEKen Tseng, Mark Horowitz: False coupling exploration in timing analysis. IEEE Trans. on CAD of Integrated Circuits and Systems 24(11): 1795-1805 (2005)
65EEGhazi Al-Rawi, John M. Cioffi, Mark Horowitz: On task mapping optimization for parallel decoding of low-density parity-check codes on message-passing architectures. Parallel Computing 31(5): 462-490 (2005)
2004
64EEBennett Wilburn, Neel Joshi, Vaibhav Vaish, Marc Levoy, Mark Horowitz: High-Speed Videography Using a Dense Camera Array. CVPR (2) 2004: 294-301
63EEFrancois Labonte, Peter R. Mattson, William Thies, Ian Buck, Christos Kozyrakis, Mark Horowitz: The Stream Virtual Machine. IEEE PACT 2004: 267-277
62EEMarc Levoy, Billy Chen, Vaibhav Vaish, Mark Horowitz, Ian McDowall, Mark T. Bolas: Synthetic aperture confocal imaging. ACM Trans. Graph. 23(3): 825-834 (2004)
2003
61EEJan M. Rabaey, Dennis Sylvester, David Blaauw, Kerry Bernstein, Jerry Frenkil, Mark Horowitz, Wolfgang Nebel, Takayasu Sakurai, Andrew Yang: Reshaping EDA for power. DAC 2003: 15
60EEFrank O'Mahony, C. Patrick Yue, Mark Horowitz, S. Simon Wong: Design of a 10GHz clock distribution network using coupled standing-wave oscillators. DAC 2003: 682-687
59EEDean Liu, Stefanos Sidiropoulos, Mark Horowitz: A Framework for Designing Reusable Analog Circuits. ICCAD 2003: 375-381
58EEMark Horowitz: High-Speed Link Design, Then and Now. ICCD 2003
57EEDavid Lie, John C. Mitchell, Chandramohan A. Thekkath, Mark Horowitz: Specifying and Verifying Hardware for Tamper-Resistant Software. IEEE Symposium on Security and Privacy 2003: 166-
56EEIsaac Keslassy, Shang-Tse Chuang, Kyoungsik Yu, David Miller, Mark Horowitz, Olav Solgaard, Nick McKeown: Scaling internet routers using optics. SIGCOMM 2003: 189-200
55EEDavid Lie, Chandramohan A. Thekkath, Mark Horowitz: Implementing an untrusted operating system on trusted hardware. SOSP 2003: 178-192
2002
54EERobert W. Brodersen, Mark Horowitz, Dejan Markovic, Borivoje Nikolic, Vladimir Stojanovic: Methods for true power minimization. ICCAD 2002: 35-42
2001
53EEJeff Solomon, Mark Horowitz: Using Texture Mapping with Mipmapping to Render a VLSI Layout. DAC 2001: 500-505
52EEGhazi Al-Rawi, John M. Cioffi, Mark Horowitz: Optimizing the Mapping of Low-Density Parity Check Codes on Parallel Decoding Architectures. ITCC 2001: 578-
2000
51EEDavid Lie, Chandramohan A. Thekkath, Mark Mitchell, Patrick Lincoln, Dan Boneh, John C. Mitchell, Mark Horowitz: Architectural Support for Copy and Tamper Resistant Software. ASPLOS 2000: 168-177
50EERob A. Rutenbar, Cheming Hu, Mark Horowitz, Stephen Y. Chow: Life at the end of CMOS scaling (and beyond) (panel session) (abstract only). DAC 2000: 85
49EEKen Mai, Tim Paaske, Nuwan Jayasena, Ron Ho, William J. Dally, Mark Horowitz: Smart Memories: a modular reconfigurable architecture. ISCA 2000: 161-171
48EEJunji Ogawa, Mark Horowitz: A 64Mbit Mesochronous Hybrid Wave Pipelined Multibank DRAM Macro. Intelligent Memory Systems 2000: 1-14
1999
47EEJules P. Bergmann, Mark Horowitz: Vex - A CAD Toolbox. DAC 1999: 523-528
46EEHema Kapadia, Mark Horowitz: Using Partitioning to Help Convergence in the Standard-Cell Design Automation Methodology. DAC 1999: 592-597
45EERon Ho, Ken Mai, Hema Kapadia, Mark Horowitz: Interconnect scaling implications for CAD. ICCAD 1999: 425-429
44EEJules P. Bergmann, Mark Horowitz: Improving coverage analysis and test generation for large designs. ICCAD 1999: 580-583
43EEDavid L. Harris, Mark Horowitz, Dean Liu: Timing analysis including clock skew. IEEE Trans. on CAD of Integrated Circuits and Systems 18(11): 1608-1618 (1999)
1998
42EEAnant Agarwal, Richard Simoni, John L. Hennessy, Mark Horowitz: An Evaluation of Directory Schemes for Cache Coherence. 25 Years ISCA: Retrospectives and Reprints 1998: 353-362
41EEJeffrey Kuskin, David Ofelt, Mark Heinrich, John Heinlein, Richard Simoni, Kourosh Gharachorloo, John Chapin, David Nakahira, Joel Baxter, Mark Horowitz, Anoop Gupta, Mendel Rosenblum, John L. Hennessy: The Stanford FLASH Multiprocessor. 25 Years ISCA: Retrospectives and Reprints 1998: 485-496
40EEShankar G. Govindaraju, David L. Dill, Alan J. Hu, Mark Horowitz: Approximate Reachability with BDDs Using Overlapping Projections. DAC 1998: 451-456
39EEMark Horowitz, Margaret Martonosi, Todd C. Mowry, Michael D. Smith: Informing Memory Operations: Memory Performance Feedback Mechanisms and Their Applications. ACM Trans. Comput. Syst. 16(2): 170-205 (1998)
38EENick McKeown, Martin Izzard, Adisak Mekkittikul, Bill Ellersick, Mark Horowitz: The Tiny Tera: A Packet Switch Core CoRR cs.NI/9810006: (1998)
1997
37EEDavid L. Harris, Stuart F. Oberman, Mark Horowitz: SRT Division Architectures and Implementations. IEEE Symposium on Computer Arithmetic 1997: 18-25
36EEDan Teodosiu, Joel Baxter, Kinshuk Govil, John Chapin, Mendel Rosenblum, Mark Horowitz: Hardware Fault Containment in Scalable Shared-Memory Multiprocessors. ISCA 1997: 73-84
1996
35 Mark Horowitz, Jan M. Rabaey, Brock Barton, Massoud Pedram: Proceedings of the 1996 International Symposium on Low Power Electronics and Design, 1996, Monterey, California, USA, August 12-14, 1996 IEEE 1996
34EERichard C. Ho, Mark Horowitz: Validation coverage analysis for complex digital designs. ICCAD 1996: 146-151
33EEMark Horowitz, Margaret Martonosi, Todd C. Mowry, Michael D. Smith: Informing Memory Operations: Providing Memory Performance Feedback in Modern Processors. ISCA 1996: 260-270
32EEGu-Yeon Wei, Mark Horowitz: A low power switching power supply for self-clocked systems. ISLPED 1996: 313-317
1995
31EEH. Dhanesha, K. Falakshahi, Mark Horowitz: Array-of-arrays architecture for parallel floating point multiplication. ARVLSI 1995: 150-157
30EERichard C. Ho, C. Han Yang, Mark Horowitz, David L. Dill: Architecture Validation for Processors. ISCA 1995: 404-413
29EEKimiyoshi Usami, Mark Horowitz: Clustered voltage scaling technique for low-power design. ISLPD 1995: 3-8
1994
28 Mark Heinrich, Jeffrey Kuskin, David Ofelt, John Heinlein, Joel Baxter, Jaswinder Pal Singh, Richard Simoni, Kourosh Gharachorloo, David Nakahira, Mark Horowitz, Anoop Gupta, Mendel Rosenblum, John L. Hennessy: The Performance Impact of Flexibility in the Stanford FLASH Multiprocessor. ASPLOS 1994: 274-285
27 James Laudon, Anoop Gupta, Mark Horowitz: Interleaving: A Multithreading Technique Targeting Multiprocessors and Workstations. ASPLOS 1994: 308-318
26 Jeffrey Kuskin, David Ofelt, Mark Heinrich, John Heinlein, Richard Simoni, Kourosh Gharachorloo, John Chapin, David Nakahira, Joel Baxter, Mark Horowitz, Anoop Gupta, Mendel Rosenblum, John L. Hennessy: The Stanford FLASH Multiprocessor. ISCA 1994: 302-313
25 James A. Gasbarro, Mark Horowitz: Techniques for Characterizing DRAMs With a 500-MHz Interface. ITC 1994: 516-525
24EERussell Kao, Mark Horowitz: Timing analysis for piecewise linear Rsim. IEEE Trans. on CAD of Integrated Circuits and Systems 13(12): 1498-1512 (1994)
23EERussell Kao, Mark Horowitz: Eliminating redundant DC equations for asymptotic waveform evaluation. IEEE Trans. on CAD of Integrated Circuits and Systems 13(3): 396-397 (1994)
22EEMark E. Dean, David L. Dill, Mark Horowitz: Self-timed logic using Current-Sensing Completion Detection (CSCD). VLSI Signal Processing 7(1-2): 7-16 (1994)
1993
21EERussell Kao, Mark Horowitz: Piecewise linear models for Rsim. ICCAD 1993: 753-758
1992
20 Michael D. Smith, Mark Horowitz, Monica S. Lam: Efficient Superscalar Performance Through Boosting. ASPLOS 1992: 248-259
19 Daniel Lenoski, James Laudon, Kourosh Gharachorloo, Wolf-Dietrich Weber, Anoop Gupta, John L. Hennessy, Mark Horowitz, Monica S. Lam: The Stanford Dash Multiprocessor. IEEE Computer 25(3): 63-79 (1992)
1991
18 Mark E. Dean, David L. Dill, Mark Horowitz: Self-Timed Logic Using Current-Sensing Completion Detection (CSCD). ICCD 1991: 187-191
17EERichard Simoni, Mark Horowitz: Modeling the Performance of Limited Pointers Directories for Cache Coherence. ISCA 1991: 309-319
1990
16 Michael D. Smith, Monica S. Lam, Mark Horowitz: Boosting Beyond Static Scheduling in a Superscalar Processor. ISCA 1990: 344-354
15EEDon Stark, Mark Horowitz: Techniques for calculating currents and voltages in VLSI power supply networks. IEEE Trans. on CAD of Integrated Circuits and Systems 9(2): 126-132 (1990)
1989
14 Michael D. Smith, Mike Johnson, Mark Horowitz: Limits on Multiple Instruction Issue. ASPLOS 1989: 290-302
13EEA. Salz, Mark Horowitz: IRSIM: An Incremental MOS Switch-Level Simulator. DAC 1989: 173-178
12EESteven A. Przybylski, Mark Horowitz, John L. Hennessy: Characteristics of Performance-Optimal Multi-Level Cache Hierarchies. ISCA 1989: 114-121
11EEAnant Agarwal, Mark Horowitz, John L. Hennessy: An Analytical Cache Model. ACM Trans. Comput. Syst. 7(2): 184-215 (1989)
1988
10EEDon Stark, Mark Horowitz: Analyzing CMOS Power Supply Networks Using Ariel. DAC 1988: 460-464
9 Anant Agarwal, Richard Simoni, John L. Hennessy, Mark Horowitz: An Evaluation of Directory Schemes for Cache Coherence. ISCA 1988: 280-289
8 Steven A. Przybylski, Mark Horowitz, John L. Hennessy: Performance Tradeoffs in Cache Design. ISCA 1988: 290-298
7EEAnant Agarwal, John L. Hennessy, Mark Horowitz: Cache Performance of Operating System and Multiprogramming Workloads. ACM Trans. Comput. Syst. 6(4): 393-431 (1988)
1987
6EEC. W. Carpenter, Mark Horowitz: Generating Incremental VLSI Compaction Spacing Constraints. DAC 1987: 291-297
5EEDon Stark, Mark Horowitz: RED: Resistance Extraction for Digital Simulation. DAC 1987: 570-573
4 Paul Chow, Mark Horowitz: Architectural Tradeoffs in the Design of MIPS-X. ISCA 1987: 300-308
3EEChorng-Yeong Chu, Mark Horowitz: Charge-Sharing Models for Switch-Level Simulation. IEEE Trans. on CAD of Integrated Circuits and Systems 6(6): 1053-1061 (1987)
1986
2 Anant Agarwal, Richard L. Sites, Mark Horowitz: ATUM: A New Technique for Capturing Address Traces Using Microcode. ISCA 1986: 119-127
1983
1EEMark Horowitz, Robert W. Dutton: Resistance Extraction from Mask Layout Data. IEEE Trans. on CAD of Integrated Circuits and Systems 2(3): 145-150 (1983)

Coauthor Index

1Andrew Adams [67] [70] [71]
2Anant Agarwal [2] [7] [9] [11] [42]
3Ghazi Al-Rawi [52] [65]
4Rajesh Ananthraman [74]
5Emilio R. Antúnez [67]
6Hideho Arakida [73] [76]
7Zain Asgar [75]
8Omid Azizi [74] [79]
9Adam Barth [67]
10Brock Barton [35]
11Joel Baxter [26] [28] [36] [41]
12Jules P. Bergmann [44] [47]
13Kerry Bernstein [61]
14David Blaauw (David T. Blaauw) [61]
15Mark T. Bolas [62]
16Dan Boneh [51]
17Stephen P. Boyd [69]
18Robert W. Brodersen [54]
19Ian Buck [63]
20C. W. Carpenter [6]
21John Chapin [26] [36] [41]
22Billy Chen [62] [68]
23Chaomei Chen [80]
24Yue Chen [80]
25Alvin Cheung [69]
26Paul Chow [4]
27Stephen Y. Chow [50]
28Chorng-Yeong Chu [3]
29Shang-Tse Chuang [56]
30John M. Cioffi [52] [65]
31Jamison D. Collins [79]
32William J. Dally [49]
33Mark E. Dean [18] [22]
34H. Dhanesha [31]
35David L. Dill [18] [22] [30] [40]
36Robert W. Dutton [1]
37Bill Ellersick [38]
38K. Falakshahi [31]
39Amin Firoozshahian [73] [75] [76] [78]
40Matthew Footer [70]
41Jerry Frenkil [61]
42Gaurav Garg [68]
43James A. Gasbarro [25]
44Kourosh Gharachorloo [19] [26] [28] [41]
45Kinshuk Govil [36]
46Shankar G. Govindaraju [40]
47Anoop Gupta [19] [26] [27] [28] [41]
48Rehan Hameed [75]
49David L. Harris [37] [43]
50John Heinlein [26] [28] [41]
51Mark Heinrich [26] [28] [41]
52John L. Hennessy [7] [8] [9] [11] [12] [19] [26] [28] [41] [42]
53Richard C. Ho [30] [34]
54Ron Ho [45] [49] [74]
55Haiyan Hou [80]
56Alan J. Hu [40]
57Cheming Hu [50]
58Martin Izzard [38]
59Nuwan Jayasena [49]
60Mike Johnson [14]
61Neel Joshi [64] [67]
62Russell Kao [21] [23] [24]
63Hema Kapadia [45] [46]
64Kyle Kelley [75]
65Isaac Keslassy [56]
66Seung-Jean Kim [69]
67Christoforos E. Kozyrakis (Christos Kozyrakis) [63] [73] [76]
68Robert Kunz [77]
69Jeffrey Kuskin [26] [28] [41]
70Francois Labonte [63]
71Monica S. Lam [16] [19] [20]
72James Laudon [19] [27]
73Daniel Lenoski [19]
74Hendrik P. A. Lensch [68]
75Jacob Leverich [73] [76]
76Marc Levoy [62] [64] [67] [68] [70] [71]
77David Lie [51] [55] [57]
78Patrick Lincoln [51]
79Dean Liu [43] [59]
80Zeyuan Liu [80]
81Ken Mai [45] [49]
82Dejan Markovic [54]
83Stephen R. Marschner [68]
84Margaret Martonosi [33] [39]
85Peter R. Mattson [63]
86Ian McDowall [62]
87Nick McKeown [38] [56]
88Adisak Mekkittikul [38]
89David Miller [56]
90John C. Mitchell [51] [57]
91Mark Mitchell [51]
92Todd C. Mowry [33] [39]
93David Nakahira [26] [28] [41]
94Wolfgang Nebel [61]
95Ren Ng [70]
96Borivoje Nikolic [54]
97Frank O'Mahony [60]
98Stuart F. Oberman [37]
99David Ofelt [26] [28] [41]
100Junji Ogawa [48]
101Tim Paaske [49]
102Dinesh Patil [69] [74] [79]
103Massoud Pedram [35]
104Don Pellegrino [80]
105Steven A. Przybylski [8] [12]
106Wajahat Qadeer [75]
107Jan M. Rabaey [35] [61]
108Stephen Richardson [78]
109Mendel Rosenblum [26] [28] [36] [41]
110Rob A. Rutenbar [50]
111Takayasu Sakurai [61]
112A. Salz [13]
113Pradeep Sen [68]
114Ofer Shacham [75] [78]
115Stefanos Sidiropoulos [59]
116Richard Simoni [9] [17] [26] [28] [41] [42]
117Jaswinder Pal Singh [28]
118Richard L. Sites [2]
119Michael D. Smith [14] [16] [20] [33] [39]
120Olav Solgaard [56]
121Alex Solomatnikov [73] [75] [76] [78]
122Jeff Solomon [53]
123Don Stark [5] [10] [15]
124Vladimir Stojanovic [54]
125Dennis Sylvester [61]
126Eino-Ville Talvala [67] [71]
127Dan Teodosiu [36]
128Chandramohan A. Thekkath [51] [55] [57]
129William Thies [63]
130Ken Tseng [66]
131Kimiyoshi Usami [29]
132Vaibhav Vaish [62] [64] [67]
133Megan Wachs [75] [78]
134Hong Wang [79]
135Wolf-Dietrich Weber [19]
136Gu-Yeon Wei [32]
137Bennett Wilburn [64] [67]
138S. Simon Wong [60]
139Andrew Yang [61]
140C. Han Yang [30]
141Kyoungsik Yu [56]
142C. Patrick Yue [60]
143Sunghee Yun [69]

Colors in the list of coauthors

Copyright © Sun May 17 03:24:02 2009 by Michael Ley (ley@uni-trier.de)