dblp.uni-trier.dewww.uni-trier.de

Wei-Kang Huang

List of publications from the DBLP Bibliography Server - FAQ
Coauthor Index - Ask others: ACM DL/Guide - CiteSeer - CSB - Google - MSN - Yahoo

2001
26EEXiao-Tao Chen, Wei-Kang Huang, Nohpill Park, Fred J. Meyer, Fabrizio Lombardi: Novel Approaches for Fault Detection in Two-Dimensional Combinational Arrays. DFT 2001: 161-169
2000
25EEBin Liu, Fabrizio Lombardi, Wei-Kang Huang: Testing programmable interconnect systems: an algorithmic approach. Asian Test Symposium 2000: 311-316
24EEWei-Kang Huang, Fred J. Meyer, Fabrizio Lombardi: An Approach for Detecting Multiple Faulty FPGA Logic Blocks. IEEE Trans. Computers 49(1): 48-54 (2000)
23EETong Liu, Wei-Kang Huang, Fred J. Meyer, Fabrizio Lombardi: Testing and testable designs for one-time programmable FPGAs. IEEE Trans. on CAD of Integrated Circuits and Systems 19(11): 1370-1375 (2000)
1999
22EEYinlei Yu, Jian Xu, Wei-Kang Huang, Fabrizio Lombardi: Diagnosing Single Faults for Interconnects in SRAM Based FPGAs. ASP-DAC 1999: 283-286
21EEYinlei Yu, Jian Xu, Wei-Kang Huang, Fabrizio Lombardi: Minimizing the Number of Programming Steps for Diagnosis of Interconnect Faults in FPGAs. Asian Test Symposium 1999: 357-362
20EEWenyi Feng, Wei-Kang Huang, Fred J. Meyer, Fabrizio Lombardi: A BIST TPG Approach for Interconnect Testing With the IEEE 1149.1 STD. Asian Test Symposium 1999: 95-100
19EEXiao-Tao Chen, Wei-Kang Huang, Nohpill Park, Fred J. Meyer, Fabrizio Lombardi: Design Verification of FPGA Implementations. IEEE Design & Test of Computers 16(2): 66-73 (1999)
1998
18EEWenyi Feng, Wei-Kang Huang, Fred J. Meyer, Fabrizio Lombardi: Fault Detection in a Tristate System Environment. Asian Test Symposium 1998: 253-258
17EEYinlei Yu, Jian Xu, Wei-Kang Huang, Fabrizio Lombardi: A Diagnosis Method for Interconnects in SRAM Based FPGAs. Asian Test Symposium 1998: 278-282
16EEWenyi Feng, Fred J. Meyer, Wei-Kang Huang, Fabrizio Lombardi: On the Complexity of Sequential Testing in Configurable FPGAs. DFT 1998: 164-
15EEWei-Kang Huang, Fred J. Meyer, Xiao-Tao Chen, Fabrizio Lombardi: Testing configurable LUT-based FPGA's. IEEE Trans. VLSI Syst. 6(2): 276-283 (1998)
1997
14EEWei-Kang Huang, M. Y. Zhang, Fred J. Meyer, Fabrizio Lombardi: A XOR-Tree Based Technique for Constant Testability of Configurable FPGAs. Asian Test Symposium 1997: 248-253
13 Fred J. Meyer, Xiao-Tao Chen, Wei-Kang Huang, Fabrizio Lombardi: Using Virtual Links for Reliable Information Retrieval Across Point-to-Point Networks. FTCS 1997: 216-225
1996
12EEFabrizio Lombardi, David Ashen, Xiao-Tao Chen, Wei-Kang Huang: Diagnosing Programmable Interconnect Systems for FPGAs. FPGA 1996: 100-106
11EEWei-Kang Huang, Xiao-Tao Chen, Fabrizio Lombardi: On the diagnosis of programmable interconnect systems: Theory and application. VTS 1996: 204-211
10EEWei-Kang Huang, Fabrizio Lombardi: An approach for testing programmable/configurable field programmable gate arrays. VTS 1996: 450-455
1995
9EETong Liu, Wei-Kang Huang, Fabrizio Lombardi: Testing of Uncustomized Segmented Channel Field Programmable Gate Arrays. FPGA 1995: 125-131
8 Chao Feng, Wei-Kang Huang, Fabrizio Lombardi: A New Diagnosis Approach for Short Faults in Interconnects. FTCS 1995: 331-339
7 Tong Liu, Wei-Kang Huang, Fabrizio Lombardi, Laxmi N. Bhuyan: A Submesh Allocation Scheme for Mesh-Connected Multiprocessor Systems. ICPP (2) 1995: 159-163
1992
6 Fabrizio Lombardi, Chao Feng, Wei-Kang Huang: Detection and Location of Multiple Faults in Baseline Interconnection Networks. IEEE Trans. Computers 41(10): 1340-1344 (1992)
1990
5 Fabrizio Lombardi, Wei-Kang Huang: Fault Detection and Design Complextity in C-Testable VLSI Arrays. IEEE Trans. Computers 39(12): 1477-1481 (1990)
4 Wei-Kang Huang, Fabrizio Lombardi: On the Constant Diagnosability of Baseline Interconnection Networks. IEEE Trans. Computers 39(12): 1485-1488 (1990)
3EEWei-Kang Huang, Yinan N. Shen, Fabrizio Lombardi: New approaches for the repairs of memories with redundancy by row/column deletion for yield enhancement. IEEE Trans. on CAD of Integrated Circuits and Systems 9(3): 323-328 (1990)
1988
2EEFredrick J. Hill, Eltayeb Abuelyamen, Wei-Kang Huang, Guo-Qiang Shen: A New Two Task Algorithm for Clock Mode Fault Simulation in Sequential Circuits. DAC 1988: 583-586
1EEWei-Kang Huang, Fabrizio Lombardi: On an improved design approach for C-testable orthogonal iterative arrays. IEEE Trans. on CAD of Integrated Circuits and Systems 7(5): 609-615 (1988)

Coauthor Index

1Eltayeb Abuelyamen [2]
2David Ashen [12]
3Laxmi N. Bhuyan [7]
4Xiao-Tao Chen [11] [12] [13] [15] [19] [26]
5Chao Feng [6] [8]
6Wenyi Feng [16] [18] [20]
7Fredrick J. Hill [2]
8Bin Liu [25]
9Tong Liu [7] [9] [23]
10Fabrizio Lombardi [1] [3] [4] [5] [6] [7] [8] [9] [10] [11] [12] [13] [14] [15] [16] [17] [18] [19] [20] [21] [22] [23] [24] [25] [26]
11Fred J. Meyer [13] [14] [15] [16] [18] [19] [20] [23] [24] [26]
12Nohpill Park [19] [26]
13Guo-Qiang Shen [2]
14Yinan N. Shen [3]
15Jian Xu [17] [21] [22]
16Yinlei Yu [17] [21] [22]
17M. Y. Zhang [14]

Colors in the list of coauthors

Copyright © Sun May 17 03:24:02 2009 by Michael Ley (ley@uni-trier.de)