dblp.uni-trier.dewww.uni-trier.de

Mehdi Baradaran Tahoori

List of publications from the DBLP Bibliography Server - FAQ
Coauthor Index - Ask others: ACM DL/Guide - CiteSeer - CSB - Google - MSN - Yahoo

2009
52EESyed Z. Shazli, Mehdi Baradaran Tahoori: Soft error rate computation in early design stages using boolean satisfiability. ACM Great Lakes Symposium on VLSI 2009: 101-104
51EEMehdi Baradaran Tahoori: BISM: built-in self map for hybrid crossbar nano-architectures. ACM Great Lakes Symposium on VLSI 2009: 153-156
2008
50EESyed Z. Shazli, Mehdi Baradaran Tahoori: Obtaining Microprocessor Vulnerability Factor Using Formal Methods. DFT 2008: 63-71
2007
49EEHossein Asadi, Mehdi Baradaran Tahoori, Chandra Tirumurti: Estimating Error Propagation Probabilities with Bounded Variances. DFT 2007: 41-49
48EEBrian Mullins, Hossein Asadi, Mehdi Baradaran Tahoori, David R. Kaeli, Kevin Granlund, Rudy Bauer, Scott Romano: Case Study: Soft Error Rate Analysis in Storage Systems. VTS 2007: 256-264
47EEGhazanfar Asadi, Mehdi Baradaran Tahoori: An Accurate SER Estimation Method Based on Propagation Probability CoRR abs/0710.4712: (2007)
46EEHossein Asadi, Mehdi Baradaran Tahoori: Analytical Techniques for Soft Error Rate Modeling and Mitigation of FPGA-Based Designs. IEEE Trans. VLSI Syst. 15(12): 1320-1331 (2007)
45EEMehdi Baradaran Tahoori, Subhasish Mitra: Application-Dependent Delay Testing of FPGAs. IEEE Trans. on CAD of Integrated Circuits and Systems 26(3): 553-563 (2007)
2006
44EEHossein Asadi, Vilas Sridharan, Mehdi Baradaran Tahoori, David R. Kaeli: Vulnerability analysis of L2 cache elements to single event upsets. DATE 2006: 1276-1281
43EEHossein Asadi, Mehdi Baradaran Tahoori: Soft error derating computation in sequential circuits. ICCAD 2006: 497-501
42EEMehdi Baradaran Tahoori: Application-independent defect-tolerant crossbar nano-architectures. ICCAD 2006: 730-734
41EEHossein Asadi, Mehdi Baradaran Tahoori: Soft error hardening for logic-level designs. ISCAS 2006
40EEVilas Sridharan, Hossein Asadi, Mehdi Baradaran Tahoori, David R. Kaeli: Reducing Data Cache Susceptibility to Soft Errors. IEEE Trans. Dependable Sec. Comput. 3(4): 353-364 (2006)
39EEMehdi Baradaran Tahoori: Application-Dependent Testing of FPGAs. IEEE Trans. VLSI Syst. 14(9): 1024-1033 (2006)
38EEMehdi Baradaran Tahoori: Application-independent defect tolerance of reconfigurable nanoarchitectures. JETC 2(3): 197-218 (2006)
2005
37EEGhazanfar Asadi, Mehdi Baradaran Tahoori: An Accurate SER Estimation Method Based on Propagation Probability. DATE 2005: 306-307
36EEMehdi Baradaran Tahoori: Defects, Yield, and Design in Sublithographic Nano-electronics. DFT 2005: 3-11
35EEBhushan Vaidya, Mehdi Baradaran Tahoori: Delay Test Generation with All Reachable Output Propagation and Multiple Excitations. DFT 2005: 380-388
34EEJeetendra Kumar, Mehdi Baradaran Tahoori: A Low Power Soft Error Suppression Technique for Dynamic Logic. DFT 2005: 454-462
33EEHossein Asadi, Mehdi Baradaran Tahoori: Soft Error Modeling and Protection for Sequential Elements. DFT 2005: 463-474
32EEGhazanfar Asadi, Mehdi Baradaran Tahoori: Soft error rate estimation and mitigation for SRAM-based FPGAs. FPGA 2005: 149-160
31 Mehdi Baradaran Tahoori: A mapping algorithm for defect-tolerance of reconfigurable nano-architectures. ICCAD 2005: 668-672
30EEGhazanfar Asadi, Mehdi Baradaran Tahoori: An analytical approach for soft error rate estimation in digital circuits. ISCAS (3) 2005: 2991-2994
29EEGhazanfar-Hossein Asadi, Vilas Sridharan, Mehdi Baradaran Tahoori, David R. Kaeli: Balancing Performance and Reliability in the Memory Hierarchy. ISPASS 2005: 269-279
28EEGhazanfar Asadi, Mehdi Baradaran Tahoori: Soft Error Mitigation for SRAM-Based FPGAs. VTS 2005: 207-212
27EER. Iris Bahar, Mehdi Baradaran Tahoori, Sandeep K. Shukla, Fabrizio Lombardi: Guest Editors' Introduction: Challenges for Reliable Design at the Nanoscale. IEEE Design & Test of Computers 22(4): 295-297 (2005)
26EEJing Huang, Mehdi Baradaran Tahoori, Fabrizio Lombardi: Fault Tolerance of Switch Blocks and Switch Block Arrays in FPGA. IEEE Trans. VLSI Syst. 13(7): 794-807 (2005)
25EEMehdi Baradaran Tahoori, Subhasish Mitra: Application-independent testing of FPGA interconnects. IEEE Trans. on CAD of Integrated Circuits and Systems 24(11): 1774-1783 (2005)
24EEMariam Momenzadeh, Jing Huang, Mehdi Baradaran Tahoori, Fabrizio Lombardi: Characterization, test, and logic synthesis of and-or-inverter (AOI) gate design for QCA implementation. IEEE Trans. on CAD of Integrated Circuits and Systems 24(12): 1881-1893 (2005)
23EEJing Huang, Mehdi Baradaran Tahoori, Fabrizio Lombardi: A probabilistic analysis of fault tolerance for switch block array in FPGAs. IJES 1(3/4): 250-262 (2005)
2004
22EEJing Huang, Mariam Momenzadeh, Mehdi Baradaran Tahoori, Fabrizio Lombardi: Design and characterization of an and-or-inverter (AOI) gate for QCA implementation. ACM Great Lakes Symposium on VLSI 2004: 426-429
21EEJing Huang, Mehdi Baradaran Tahoori, Fabrizio Lombardi: Fault Tolerance of Programmable Switch Blocks. DATE 2004: 1358-1359
20EEMehdi Baradaran Tahoori, Fabrizio Lombardi: Testing of Quantum Dot Cellular Automata Based Designs. DATE 2004: 1408-1409
19EERajeev Murgai, Subodh M. Reddy, Takashi Miyoshi, Takeshi Horie, Mehdi Baradaran Tahoori: Sensitivity-Based Modeling and Methodology for Full-Chip Substrate Noise Analysis. DATE 2004: 610-615
18EEJing Huang, Mariam Momenzadeh, Mehdi Baradaran Tahoori, Fabrizio Lombardi: Defect Characterization for Scaling of QCA Devices. DFT 2004: 30-38
17EEJing Huang, Mehdi Baradaran Tahoori, Fabrizio Lombardi: On the Defect Tolerance of Nano-Scale Two-Dimensional Crossbars. DFT 2004: 96-104
16EEMehdi Baradaran Tahoori, Subhasish Mitra: Defect and Fault Tolerance of Reconfigurable Molecular Computing. FCCM 2004: 176-185
15EEJing Huang, Mehdi Baradaran Tahoori, Fabrizio Lombardi: Probabilistic Analysis of Fault Tolerance of FPGA Switch Block Array. IPDPS 2004
14EEMariam Momenzadeh, Mehdi Baradaran Tahoori, Jing Huang, Fabrizio Lombardi: Quantum Cellular Automata: New Defects and Faults for New Devices. IPDPS 2004
13EEJing Huang, Mehdi Baradaran Tahoori, Fabrizio Lombardi: Routability and Fault Tolerance of FPGA Interconnect Architectures. ITC 2004: 479-488
12EEMehdi Baradaran Tahoori, Subhasish Mitra: Interconnect Delay Testing of Designs on Programmable Logic Devices. ITC 2004: 635-644
11EEMehdi Baradaran Tahoori: Application-Dependent Diagnosis of FPGAs. ITC 2004: 645-654
10EEMehdi Baradaran Tahoori, Edward J. McCluskey, Michel Renovell, Philippe Faure: A Multi-Configuration Strategy for an Application Dependent Testing of FPGAs. VTS 2004: 154-170
9EEMehdi Baradaran Tahoori, Mariam Momenzadeh, Jing Huang, Fabrizio Lombardi: Defects and Faults in Quantum Cellular Automata at Nano Scale. VTS 2004: 291-296
8EEMehdi Baradaran Tahoori, Subhasish Mitra: Techniques and algorithms for fault grading of FPGA interconnect test configurations. IEEE Trans. on CAD of Integrated Circuits and Systems 23(2): 261-272 (2004)
7EEMehdi Baradaran Tahoori: Application-Specific Bridging Fault Testing of FPGAs. J. Electronic Testing 20(3): 279-289 (2004)
2003
6EEMehdi Baradaran Tahoori: Using satisfiability in application-dependent testing of FPGA interconnects. DAC 2003: 678-681
5EEMehdi Baradaran Tahoori: Application-Dependent Testing of FPGA Interconnects. DFT 2003: 409-416
4EEMehdi Baradaran Tahoori: A high resolution diagnosis technique for open and short defects in FPGA interconnects. FPGA 2003: 248
3EEMehdi Baradaran Tahoori: Application-dependent testing of FPGAs for bridging faults. FPGA 2003: 248
2EEMehdi Baradaran Tahoori, Subhasish Mitra: Automatic Configuration Generation for FPGA Interconnect Testing. VTS 2003: 134-144
2002
1EEMehdi Baradaran Tahoori, Subhasish Mitra, Shahin Toutounchi, Edward J. McCluskey: Fault Grading FPGA Interconnect Test Configurations. ITC 2002: 608-617

Coauthor Index

1Ghazanfar-Hossein Asadi [29]
2Hossein Asadi (Ghazanfar Asadi) [28] [30] [32] [33] [37] [40] [41] [43] [44] [46] [47] [48] [49]
3R. Iris Bahar [27]
4Rudy Bauer [48]
5Philippe Faure [10]
6Kevin Granlund [48]
7Takeshi Horie [19]
8Jing Huang [9] [13] [14] [15] [17] [18] [21] [22] [23] [24] [26]
9David R. Kaeli [29] [40] [44] [48]
10Jeetendra Kumar [34]
11Fabrizio Lombardi [9] [13] [14] [15] [17] [18] [20] [21] [22] [23] [24] [26] [27]
12Edward J. McCluskey [1] [10]
13Subhasish Mitra [1] [2] [8] [12] [16] [25] [45]
14Takashi Miyoshi [19]
15Mariam Momenzadeh [9] [14] [18] [22] [24]
16Brian Mullins [48]
17Rajeev Murgai [19]
18Subodh M. Reddy [19]
19Michel Renovell [10]
20Scott Romano [48]
21Syed Z. Shazli [50] [52]
22Sandeep K. Shukla [27]
23Vilas Sridharan [29] [40] [44]
24Chandra Tirumurti [49]
25Shahin Toutounchi [1]
26Bhushan Vaidya [35]

Colors in the list of coauthors

Copyright © Sun May 17 03:24:02 2009 by Michael Ley (ley@uni-trier.de)