dblp.uni-trier.dewww.uni-trier.de

Ismail Kadayif

List of publications from the DBLP Bibliography Server - FAQ
Coauthor Index - Ask others: ACM DL/Guide - CiteSeer - CSB - Google - MSN - Yahoo

2008
41EEIsmail Kadayif, Ayhan Zorlubas, Selcuk Koyuncu, Olcay Kabal, Davut Akcicek, Yucel Sahin, Mahmut T. Kandemir: Capturing and optimizing the interactions between prefetching and cache line turnoff. Microprocessors and Microsystems - Embedded Hardware Design 32(7): 394-404 (2008)
2007
40EEIsmail Kadayif, Mahmut T. Kandemir: Modeling and improving data cache reliability. SIGMETRICS 2007: 1-12
39EEIsmail Kadayif, Partho Nath, Mahmut T. Kandemir, Anand Sivasubramaniam: Reducing Data TLB Power via Compiler-Directed Address Generation. IEEE Trans. on CAD of Integrated Circuits and Systems 26(2): 312-324 (2007)
2006
38EEIsmail Kadayif, Mahmut T. Kandemir, Feihui Li: Prefetching-aware cache line turnoff for saving leakage energy. ASP-DAC 2006: 182-187
2005
37EEIsmail Kadayif, Mahmut T. Kandemir, Guilin Chen: Studying interactions between prefetching and cache line turnoff. ASP-DAC 2005: 545-548
36EEMahmut T. Kandemir, Guangyu Chen, Ismail Kadayif: Compiling for memory emergency. LCTES 2005: 213-221
35EEIsmail Kadayif, Anand Sivasubramaniam, Mahmut T. Kandemir, Gokul B. Kandiraju, Guangyu Chen: Optimizing instruction TLB energy using software and hardware techniques. ACM Trans. Design Autom. Electr. Syst. 10(2): 229-257 (2005)
34EEIsmail Kadayif, Mahmut T. Kandemir: Data space-oriented tiling for enhancing locality. ACM Trans. Embedded Comput. Syst. 4(2): 388-414 (2005)
33EEIsmail Kadayif, Mahmut T. Kandemir, Guilin Chen, Narayanan Vijaykrishnan, Mary Jane Irwin, Anand Sivasubramaniam: Compiler-directed high-level energy estimation and optimization. ACM Trans. Embedded Comput. Syst. 4(4): 819-850 (2005)
32EEIsmail Kadayif, Mahmut T. Kandemir, Guilin Chen, Ozcan Ozturk, Mustafa Karaköy, Ugur Sezer: Optimizing Array-Intensive Applications for On-Chip Multiprocessors. IEEE Trans. Parallel Distrib. Syst. 16(5): 396-411 (2005)
31EEIsmail Kadayif, Mahmut T. Kandemir, Narayanan Vijaykrishnan, Mary Jane Irwin: An integer linear programming-based tool for wireless sensor networks. J. Parallel Distrib. Comput. 65(3): 247-260 (2005)
2004
30EEMahmut T. Kandemir, Ismail Kadayif, Guilin Chen: Compiler-directed code restructuring for reducing data TLB energy. CODES+ISSS 2004: 98-103
29EEIsmail Kadayif, Mahmut T. Kandemir, Ibrahim Kolcu: Exploiting Processor Workload Heterogeneity for Reducing Energy Consumption in Chip Multiprocessors. DATE 2004: 1158-1163
28EEIsmail Kadayif, Mahmut T. Kandemir: Tuning In-Sensor Data Filtering to Reduce Energy Consumption in Wireless Sensor Networks. DATE 2004: 852-857
27EEIsmail Kadayif, Mahmut T. Kandemir, I. Demirkiran: Compiler-Guided Code Restructuring for Improving Instruction TLB Energy Behavior. Euro-Par 2004: 304-309
26EEIsmail Kadayif, Partho Nath, Mahmut T. Kandemir, Anand Sivasubramaniam: Compiler-directed physical address generation for reducing dTLB power. ISPASS 2004: 161-168
25EEIsmail Kadayif, Mahmut T. Kandemir: Quasidynamic Layout Optimizations for Improving Data Locality. IEEE Trans. Parallel Distrib. Syst. 15(11): 996-1011 (2004)
24EEVictor M. DeLaLuz, Ismail Kadayif, Mahmut T. Kandemir, Ugur Sezer: Access Pattern Restructuring for Memory Energy. IEEE Trans. Parallel Distrib. Syst. 15(4): 289-303 (2004)
23 Mahmut T. Kandemir, Ismail Kadayif, Alok N. Choudhary, Ibrahim Kolcu: Compiler-directed scratch pad memory optimization for embedded multiprocessors. IEEE Trans. VLSI Syst. 12(3): 281-287 (2004)
22EEMahmut T. Kandemir, J. Ramanujam, Mary Jane Irwin, Narayanan Vijaykrishnan, Ismail Kadayif, Amisha Parikh: A compiler-based approach for dynamically managing scratch-pad memories in embedded systems. IEEE Trans. on CAD of Integrated Circuits and Systems 23(2): 243-260 (2004)
2003
21EEGokhan Memik, Mahmut T. Kandemir, Alok N. Choudhary, Ismail Kadayif: An Integrated Approach for Improving Cache Behavior. DATE 2003: 10796-10801
20EEVictor De La Luz, Mahmut T. Kandemir, Ismail Kadayif, Ugur Sezer: Generalized Data Transformations for Enhancing Cache Behavior. DATE 2003: 10906-10911
19EELin Li, Narayanan Vijaykrishnan, Mahmut T. Kandemir, Mary Jane Irwin, Ismail Kadayif: CCC: Crossbar Connected Caches for Reducing Energy Consumption of On-Chip Multiprocessors. DSD 2003: 41-49
18EEGuangyu Chen, Guangyu Chen, Ismail Kadayif, Wei Zhang, Mahmut T. Kandemir, Ibrahim Kolcu, Ugur Sezer: Compiler-Directed Management of Instruction Accesses. DSD 2003: 459-462
17EEIsmail Kadayif, Mahmut T. Kandemir, Alok N. Choudhary, Mustafa Karaköy: An Energy-Oriented Evaluation of Communication Optimizations for Microcensor Networks. Euro-Par 2003: 279-286
16EELin Li, Ismail Kadayif, Yuh-Fang Tsai, Narayanan Vijaykrishnan, Mahmut T. Kandemir, Mary Jane Irwin, Anand Sivasubramaniam: Managing Leakage Energy in Cache Hierarchies. J. Instruction-Level Parallelism 5: (2003)
2002
15EEMahmut T. Kandemir, Ismail Kadayif, Alok N. Choudhary, Joseph Zambreno: Optimizing inter-nest data locality. CASES 2002: 127-135
14EEMahmut T. Kandemir, Ibrahim Kolcu, Ismail Kadayif: Influence of Loop Optimizations on Energy Consumption of Multi-bank Memory Systems. CC 2002: 276-292
13EEIsmail Kadayif, Mahmut T. Kandemir, Ibrahim Kolcu, Guangyu Chen: Locality-conscious process scheduling in embedded systems. CODES 2002: 193-198
12EEIsmail Kadayif, Mahmut T. Kandemir, Mustafa Karaköy: An energy saving strategy based on adaptive loop parallelization. DAC 2002: 195-200
11EEIsmail Kadayif, Mahmut T. Kandemir, Ugur Sezer: An integer linear programming based approach for parallelizing applications in On-chip multiprocessors. DAC 2002: 703-708
10EEIsmail Kadayif, Mahmut T. Kandemir, Narayanan Vijaykrishnan, Mary Jane Irwin, Anand Sivasubramaniam: EAC: A Compiler Framework for High-Level Energy Estimation and Optimization. DATE 2002: 436-442
9EELin Li, Ismail Kadayif, Yuh-Fang Tsai, Narayanan Vijaykrishnan, Mahmut T. Kandemir, Mary Jane Irwin, Anand Sivasubramaniam: Leakage Energy Management in Cache Hierarchies. IEEE PACT 2002: 131-140
8EEIsmail Kadayif, Mahmut T. Kandemir, Narayanan Vijaykrishnan, Mary Jane Irwin: Hardware-Software Co-Adaptation for Data-Intensive Embedded Applications. ISVLSI 2002: 20-25
7EEIsmail Kadayif, Mahmut T. Kandemir, Alok N. Choudhary: A Hybrid Strategy Based on Data Distribution and Migration for Optimizing Memory Locality. LCPC 2002: 111-125
6EEIsmail Kadayif, Anand Sivasubramaniam, Mahmut T. Kandemir, Gokul B. Kandiraju, Guangyu Chen: Generating physical addresses directly for saving instruction TLB energy. MICRO 2002: 185-196
2001
5EEMahmut T. Kandemir, Ismail Kadayif: Compiler-directed selection of dynamic memory layouts. CODES 2001: 219-224
4EEMahmut T. Kandemir, J. Ramanujam, Mary Jane Irwin, Narayanan Vijaykrishnan, Ismail Kadayif, Amisha Parikh: Dynamic Management of Scratch-Pad Memory Space. DAC 2001: 690-695
3 Mahmut T. Kandemir, Ismail Kadayif, Ugur Sezer: Exploiting scratch-pad memory using Presburger formulas. ISSS 2001: 7-12
2 Ismail Kadayif, Mahmut T. Kandemir, Narayanan Vijaykrishnan, Mary Jane Irwin, J. Ramanujam: Morphable Cache Architectures: Potential Benefits. LCTES/OM 2001: 128-137
1EEIsmail Kadayif, T. Chinoda, Mahmut T. Kandemir, Narayanan Vijaykrishnan, Mary Jane Irwin, Anand Sivasubramaniam: vEC: virtual energy counters. PASTE 2001: 28-31

Coauthor Index

1Davut Akcicek [41]
2Guangyu Chen [6] [13] [18] [35] [36]
3Guilin Chen [30] [32] [33] [37]
4T. Chinoda [1]
5Alok N. Choudhary [7] [15] [17] [21] [23]
6Victor M. DeLaLuz (Victor De La Luz, Victor Delaluz) [20] [24]
7I. Demirkiran [27]
8Mary Jane Irwin [1] [2] [4] [8] [9] [10] [16] [19] [22] [31] [33]
9Olcay Kabal [41]
10Mahmut T. Kandemir [1] [2] [3] [4] [5] [6] [7] [8] [9] [10] [11] [12] [13] [14] [15] [16] [17] [18] [19] [20] [21] [22] [23] [24] [25] [26] [27] [28] [29] [30] [31] [32] [33] [34] [35] [36] [37] [38] [39] [40] [41]
11Gokul B. Kandiraju [6] [35]
12Mustafa Karaköy [12] [17] [32]
13Ibrahim Kolcu [13] [14] [18] [23] [29]
14Selcuk Koyuncu [41]
15Feihui Li [38]
16Lin Li [9] [16] [19]
17Gokhan Memik [21]
18Partho Nath [26] [39]
19Ozcan Ozturk [32]
20Amisha Parikh [4] [22]
21J. Ramanujam [2] [4] [22]
22Yucel Sahin [41]
23Ugur Sezer [3] [11] [18] [20] [24] [32]
24Anand Sivasubramaniam [1] [6] [9] [10] [16] [26] [33] [35] [39]
25Yuh-Fang Tsai [9] [16]
26Narayanan Vijaykrishnan (Vijaykrishnan Narayanan) [1] [2] [4] [8] [9] [10] [16] [19] [22] [31] [33]
27Joseph Zambreno [15]
28Wei Zhang [18]
29Ayhan Zorlubas [41]

Copyright © Sun May 17 03:24:02 2009 by Michael Ley (ley@uni-trier.de)