dblp.uni-trier.dewww.uni-trier.de

Lizy Kurian John

Lizy K. John

List of publications from the DBLP Bibliography Server - FAQ
Coauthor Index - Ask others: ACM DL/Guide - CiteSeer - CSB - Google - MSN - Yahoo
Home Page

2009
98EEMuhammad Umar Farooq, Lizy K. John: Loop-Aware Instruction Scheduling with Dynamic Contention Tracking for Tiled Dataflow Architectures. CC 2009: 190-203
97EEMuhammad Umar Farooq, Lizy Kurian John, Margarida F. Jacome: Compiler Controlled Speculation for Power Aware ILP Extraction in Dataflow Architectures. HiPEAC 2009: 324-338
96EEKarthik Ganesan, Deepak Panwar, Lizy K. John: Generation, Validation and Analysis of SPEC CPU2006 Simulation Points Based on Branch, Memory and TLB Characteristics. SPEC Benchmark Workshop 2009: 121-137
95EECiji Isen, Lizy K. John, Eugene John: A Tale of Two Processors: Revisiting the RISC-CISC Debate. SPEC Benchmark Workshop 2009: 57-76
2008
94EEAjay M. Joshi, Lieven Eeckhout, Lizy Kurian John, Ciji Isen: Automated microprocessor stressmark generation. HPCA 2008: 229-239
93EEArun A. Nair, Lizy K. John: Simulation points for SPEC CPU 2006. ICCD 2008: 397-403
92EEKarthik Ganesan, Lizy Kurian John, Valentina Salapura, James Sexton: A Performance Counter Based Workload Characterization on Blue Gene/P. ICPP 2008: 330-337
91EEW. Lloyd Bircher, Lizy K. John: Analysis of dynamic power management on multi-core processors. ICS 2008: 327-338
90EECiji Isen, Lizy Kurian John, Jung Pil Choi, Hyo Jung Song: On the representativeness of embedded Java benchmarks. IISWC 2008: 153-162
89EEJian Chen, Lizy Kurian John: Energy-aware application scheduling on a heterogeneous multi-core system. IISWC 2008: 5-13
88EERenato J. O. Figueiredo, P. Oscar Boykin, José A. B. Fortes, Tao Li, Jie-Kwon Peir, David Wolinsky, Lizy Kurian John, David R. Kaeli, David J. Lilja, Sally A. McKee, Gokhan Memik, Alain Roy, Gary S. Tyson: Archer: A Community Distributed Computing Infrastructure for Computer Architecture Research and Education CoRR abs/0807.1765: (2008)
87EEAjay Joshi, Lieven Eeckhout, Robert H. Bell Jr., Lizy Kurian John: Distilling the essence of proprietary workloads into miniature benchmarks. TACO 5(2): (2008)
2007
86EEAashish Phansalkar, Ajay Joshi, Lizy Kurian John: Analysis of redundancy and application balance in the SPEC CPU2006 benchmark suite. ISCA 2007: 412-423
85EEW. Lloyd Bircher, Lizy K. John: Complete System Power Estimation: A Trickle-Down Approach Based on Performance Events. ISPASS 2007: 158-168
84EEAjay M. Joshi, Lieven Eeckhout, Lizy Kurian John: Exploring the Application Behavior Space Using Parameterized Synthetic Benchmarks. PACT 2007: 412
83EEJiajin Tu, Jian Chen, Lizy K. John: Hardware Efficient Piecewise Linear Branch Predictor. VLSI Design 2007: 673-678
82EETao Li, Lizy Kurian John, Anand Sivasubramaniam, Narayanan Vijaykrishnan, Juan Rubio: OS-Aware Branch Prediction: Improving Microprocessor Control Flow Prediction for Operating Systems. IEEE Trans. Computers 56(1): 2-17 (2007)
81EEAjay Joshi, Yue Luo, Lizy K. John: Applying Statistical Sampling for Fast and Efficient Simulation of Commercial Workloads. IEEE Trans. Computers 56(11): 1520-1533 (2007)
2006
80EEAjay Joshi, Lieven Eeckhout, Robert H. Bell Jr., Lizy Kurian John: Performance Cloning: A Technique for Disseminating Proprietary Applications as Benchmarks. IISWC 2006: 105-115
79EEJoshua J. Yi, Resit Sendag, Lieven Eeckhout, Ajay Joshi, David J. Lilja, Lizy Kurian John: Evaluating Benchmark Subsetting Approaches. IISWC 2006: 93-104
78EEShiwen Hu, Lizy Kurian John: Avoiding store misses to fully modified cache blocks. IPCCC 2006
77EERobert H. Bell Jr., Rajiv R. Bhatia, Lizy K. John, Jeff Stuecheli, John Griswell, Paul Tu, Louis Capps, Anton Blanchard, Ravel Thai: Automatic testcase synthesis and performance model validation for high performance PowerPC processors. ISPASS 2006: 154-165
76EEAjay Joshi, Joshua J. Yi, Robert H. Bell Jr., Lieven Eeckhout, Lizy Kurian John, David J. Lilja: Evaluating the efficacy of statistical simulation for design space exploration. ISPASS 2006: 70-79
75EEKenneth Hoste, Aashish Phansalkar, Lieven Eeckhout, Andy Georges, Lizy Kurian John, Koen De Bosschere: Performance prediction based on inherent program similarity. PACT 2006: 114-122
74EEShiwen Hu, Lizy Kurian John: Impact of virtual execution environments on processor energy consumption and hardware adaptation. VEE 2006: 100-110
73EEJoshua J. Yi, Lieven Eeckhout, David J. Lilja, Brad Calder, Lizy Kurian John, James E. Smith: The Future of Simulation: A Field of Dreams. IEEE Computer 39(11): 22-29 (2006)
72EEAjay Joshi, Aashish Phansalkar, Lieven Eeckhout, Lizy Kurian John: Measuring Benchmark Similarity Using Inherent Program Characteristics. IEEE Trans. Computers 55(6): 769-782 (2006)
71EEByeong Kil Lee, Lizy Kurian John, Eugene John: Architectural enhancements for network congestion control applications. IEEE Trans. VLSI Syst. 14(6): 609-615 (2006)
70EEMadhavi Gopal Valluri, Lizy Kurian John, Heather Hanson: Hybrid-Scheduling for Reduced Energy Consumption in High-Performance Processors. IEEE Trans. VLSI Syst. 14(9): 1039-1043 (2006)
69EETao Li, Lizy Kurian John: Operating system power minimization through run-time processor resource adaptation. Microprocessors and Microsystems 30(4): 189-198 (2006)
68EEShiwen Hu, Madhavi Gopal Valluri, Lizy Kurian John: Effective management of multiple configurable units using dynamic optimization. TACO 3(4): 477-501 (2006)
2005
67EEByeong Kil Lee, Lizy Kurian John, Eugene John: Architectural Support for Accelerating Congestion Control Applications in Network Processors. ASAP 2005: 169-178
66EEShiwen Hu, Madhavi Gopal Valluri, Lizy Kurian John: Effective Adaptive Computing Environment Management via Dynamic Optimization. CGO 2005: 63-73
65EEYue Luo, Lizy Kurian John: Simulating Commercial Java Throughput Workloads: A Case Study. ICCD 2005: 393-398
64EERobert H. Bell Jr., Lizy Kurian John: Improved automatic testcase synthesis for performance model validation. ICS 2005: 111-120
63EEMadhavi Gopal Valluri, Lizy Kurian John, Kathryn S. McKinley: Low-power, low-complexity instruction issue using compiler assistance. ICS 2005: 209-218
62EEAashish Phansalkar, Ajay Joshi, Lieven Eeckhout, Lizy Kurian John: Measuring Program Similarity: Experiments with SPEC CPU Benchmark Suites. ISPASS 2005: 10-20
61EEYue Luo, Ajay Joshi, Aashish Phansalkar, Lizy Kurian John, Joydeep Ghosh: Analyzing and Improving Clustering Based Sampling for Microprocessor Simulation. SBAC-PAD 2005: 193-200
60EELieven Eeckhout, Yue Luo, Koen De Bosschere, Lizy Kurian John: BLRL: Accurate and Efficient Warmup for Sampled Processor Simulation. Comput. J. 48(4): 451-459 (2005)
59EEByeong Kil Lee, Lizy Kurian John: Implications of Executing Compression and Encryption Applications on General Purpose Processors. IEEE Trans. Computers 54(7): 917-922 (2005)
58EEJuan Rubio, Lizy Kurian John: Reducing Server Data Traffic Using a Hierarchical Computation Model. IEEE Trans. Parallel Distrib. Syst. 16(10): 933-943 (2005)
57EEYue Luo, Lizy Kurian John, Lieven Eeckhout: SMA: A Self-Monitored Adaptive Cache Warm-Up Scheme for Microprocessor Simulation. International Journal of Parallel Programming 33(5): 561-581 (2005)
56EETao Li, Ravi Bhargava, Lizy Kurian John: Adapting branch-target buffer to improve the target predictability of java code. TACO 2(2): 109-130 (2005)
2004
55EEJuan Rubio, Lizy Kurian John: Analysis of the Execution of a Next Generation Application on Superscalar and Grid Processors. ICPADS 2004: 307-314
54EELieven Eeckhout, Robert H. Bell Jr., Bastiaan Stougie, Koen De Bosschere, Lizy Kurian John: Control Flow Modeling in Statistical Simulation for Accurate and Efficient Processor Design Studies. ISCA 2004: 350-363
53EEYue Luo, Lizy Kurian John, Lieven Eeckhout: Self-Monitored Adaptive Cache Warm-Up for Microprocessor Simulation. SBAC-PAD 2004: 10-17
52EEJuan Rubio, Charles Lefurgy, Lizy Kurian John: Improving Server Performance on Transaction Processing Workloads by Enhanced Data Placement. SBAC-PAD 2004: 84-91
51EEDoug Burger, Stephen W. Keckler, Kathryn S. McKinley, Michael Dahlin, Lizy Kurian John, Calvin Lin, Charles R. Moore, James H. Burrill, Robert G. McDonald, William Yode: Scaling to the End of Silicon with EDGE Architectures. IEEE Computer 37(7): 44-55 (2004)
50EEYue Luo, Lizy Kurian John: Locality-Based Online Trace Compression. IEEE Trans. Computers 53(6): 723-731 (2004)
49EELizy Kurian John: More on finding a single number to indicate overall performance of a benchmark suite. SIGARCH Computer Architecture News 32(1): 3-8 (2004)
2003
48EEByeong Kil Lee, Lizy Kurian John: NpBench: A Benchmark Suite for Control plane and Data plane Applications for Network Processors. ICCD 2003: 226-233
47EERavi Bhargava, Lizy Kurian John: Improving Dynamic Cluster Assignment for Clustered Trace Cache Processors. ISCA 2003: 264-274
46EETao Li, Lizy Kurian John: Routine based OS-aware microprocessor resource adaptation for run-time operating system power saving. ISLPED 2003: 241-246
45EESoontae Kim, Narayanan Vijaykrishnan, Mary Jane Irwin, Lizy Kurian John: On load latency in low-power caches. ISLPED 2003: 258-261
44EEMadhavi Gopal Valluri, Lizy Kurian John, Heather Hanson: Exploiting compiler-generated schedules for energy savings in high-performance processors. ISLPED 2003: 414-419
43EETao Li, Lizy Kurian John: Run-time modeling and estimation of operating system power consumption. SIGMETRICS 2003: 160-171
42EERobert H. Bell Jr., Lizy Kurian John: Interface Design Techniques for Single-Chip Systems. VLSI Design 2003: 388-394
41EEYue Luo, Juan Rubio, Lizy Kurian John, Pattabi Seshadri, Alex E. Mericas: Benchmarking Internet Servers on Superscalar Machines. IEEE Computer 36(2): 34-40 (2003)
40EEDeependra Talla, Lizy Kurian John, Doug Burger: Bottlenecks in Multimedia Processing with SIMD Style Extensions and Architectural Enhancements. IEEE Trans. Computers 52(8): 1015-1031 (2003)
39EEShiwen Hu, Ravi Bhargava, Lizy Kurian John: The Role of Return Value Prediction in Exploiting Speculative Method-Level Parallelism. J. Instruction-Level Parallelism 5: (2003)
2002
38EEByeong Kil Lee, Lizy Kurian John: Implications of Programmable General Purpose Processors for Compression/Encryption Applications. ASAP 2002: 233-242
37EETao Li, Lizy Kurian John, Anand Sivasubramaniam, Narayanan Vijaykrishnan, Juan Rubio: Understanding and improving operating system effects in control flow prediction. ASPLOS 2002: 68-80
36EESudhanva Gurumurthi, Anand Sivasubramaniam, Mary Jane Irwin, Narayanan Vijaykrishnan, Mahmut T. Kandemir, Tao Li, Lizy Kurian John: Using Complete Machine Simulation for Software Power Estimation: The SoftWatt Approach. HPCA 2002: 141-150
35EETao Li, Ravi Bhargava, Lizy Kurian John: Rehashable BTB: An Adaptive Branch Target Buffer to Improve the Target Predictability of Java Code. HiPC 2002: 597-608
34EERavi Bhargava, Lizy Kurian John: Latency and energy aware value prediction for high-frequency processors. ICS 2002: 45-56
33EETao Li, Lizy Kurian John, Robert H. Bell Jr.: Modeling and Evaluation of Control Flow Prediction Schemes Using Complete System Simulation and Java Workloads. MASCOTS 2002: 391-400
2001
32 Deependra Talla, Lizy Kurian John: Cost-effective Hardware Acceleration of Multimedia Applications. ICCD 2001: 415-424
31EERamesh Radhakrishnan, Ravi Bhargava, Lizy Kurian John: Improving Java performance using hardware translation. ICS 2001: 427-439
30EERamesh Radhakrishnan, Narayanan Vijaykrishnan, Lizy Kurian John, Anand Sivasubramaniam, Juan Rubio, Jyotsna Sabarinathan: Java Runtime Systems: Characterization and Architectural Implications. IEEE Trans. Computers 50(2): 131-146 (2001)
29EETao Li, Lizy Kurian John: ADir_pNB: A Cost-Effective Way to Implement Full Map Directory-Based Cache Coherence Protocols. IEEE Trans. Computers 50(9): 921-934 (2001)
28EEDeependra Talla, Lizy Kurian John: MediaBreeze: a decoupled architecture for accelerating multimedia applications. SIGARCH Computer Architecture News 29(5): 62-67 (2001)
2000
27EERamesh Radhakrishnan, Narayanan Vijaykrishnan, Lizy Kurian John, Anand Sivasubramaniam: Architectural Issues in Java Runtime Systems. HPCA 2000: 387-398
26EEDeependra Talla, Lizy Kurian John, Viktor S. Lapinskii, Brian L. Evans: Evaluating Signal Processing and Multimedia Applications on SIMD, VLIW and Superscalar Architectures. ICCD 2000: 163-
25EETao Li, Lizy Kurian John, Narayanan Vijaykrishnan, Anand Sivasubramaniam, Jyotsna Sabarinathan, Anupama Murthy: Using complete system simulation to characterize SPECjvm98 benchmarks. ICS 2000: 22-33
24EERamesh Radhakrishnan, Deependra Talla, Lizy Kurian John: Allowing for ILP in an embedded Java processor. ISCA 2000: 294-305
23 Lizy Kurian John: Data Placement Schemes to Reduce Conflicts in Interleaved Memories. Comput. J. 43(2): 138-151 (2000)
1999
22EERamesh Radhakrishnan, Lizy Kurian John: A Performance Study of Modern Web Server Applications. Euro-Par 1999: 239-247
21EEDeependra Talla, Lizy Kurian John: Performance Evaluation and Benchmarking of Native Signal Processing. Euro-Par 1999: 266-270
20EER. Shalem, Lizy Kurian John, Eugene John: A Novel Low Power Energy Recovery Full Adder Cell. Great Lakes Symposium on VLSI 1999: 380-
19EEMike Clark, Lizy Kurian John: Performance Evaluation of Configurable Hardware Features on the AMD-K5. ICCD 1999: 102-107
18EESrivatsan Srinivasan, Lizy Kurian John: On the Use of Pseudorandom Sequences for High Speed Resource Allocators in Superscalar Processors. ICCD 1999: 124-130
17EERamesh Radhakrishnan, Juan Rubio, Lizy Kurian John: Characterization of Java Applications at Bytecode and Ultra-SPARC Machine Code Levels. ICCD 1999: 281-284
16EEHuy Nguyen, Lizy Kurian John: Exploiting SIMD parallelism in DSP and multimedia algorithms using the AltiVec technology. International Conference on Supercomputing 1999: 11-20
15EESrivatsan Srinivasan, Parminder Singh Chhabra, Praveen Kumar Jaini, Adnan Aziz, Lizy Kurian John: Formal Verification of a Snoop-Based Cache Coherence Protocol Using Symbolic Model Checking. VLSI Design 1999: 288-293
1998
14EEAshutosh Kulkarni, Navin Chander, Soumya Pillai, Lizy Kurian John: Modeling and Analysis of The Difference-Bit Cache. Great Lakes Symposium on VLSI 1998: 140-145
13EERavi Bhargava, Lizy Kurian John, Brian L. Evans, Ramesh Radhakrishnan: Evaluating MMX Technology Using DSP and Multimedia Applications. MICRO 1998: 37-46
12EEGregory E. Beers, Lizy Kurian John: Novel Memory Bus Driver/Receiver Architecture for Higher Throughput. VLSI Design 1998: 259-264
1997
11 Lizy Kurian John, Akila Subramanian: Design and Performance Evaluation of a Cache Assist to implement Selective Caching. ICCD 1997: 510-518
1996
10EELizy Kurian John: VaWiRAM: a variable width random access memory module. VLSI Design 1996: 219-224
9 Lizy Kurian John, Yu-cheng Liu: Performance Model for a Prioritized Multiple-Bus Multiprocessor System. IEEE Trans. Computers 45(5): 580-588 (1996)
1995
8EELizy Kurian John, Vinod Reddy, Paul T. Hulina, Lee D. Coraor: A comparative evaluation of software techniques to hide memory latency. HICSS (1) 1995: 229
7 Lizy Kurian John, Vinod Reddy, Paul T. Hulina, Lee D. Coraor: Program Balance and Its Impact on High Performance RISC Architectures. HPCA 1995: 370-379
6EELizy Kurian John, Daniel Brewer, Eugene John: Design of a highly reconfigurable interconnect for array processors. VLSI Design 1995: 321-325
1994
5 Lizy Kurian John, Bermjae Choi, Paul T. Hulina, Lee D. Coraor: Module Partitioning and Interlaced Data Placement Schemes to Reduce Conflicts in Interleaved Memories. ICPP (1) 1994: 212-219
4 Lizy Kurian John, Paul T. Hulina, Lee D. Coraor: Memory Latency Effects in Decoupled Architectures. IEEE Trans. Computers 43(10): 1129-1139 (1994)
1992
3 Lizy Kurian John, Paul T. Hulina, Lee D. Coraor: Memory Latency Effects in Decoupled Architectures With a Single Data Memory Module. ISCA 1992: 236-245
1991
2 Lizy Kurian John, Matthew Thazhuthaveetil: Effect of Hot Spots on Multiprocessor Systems Using Circuit Switched Interconnection Networks. ICPP (1) 1991: 554-557
1EELizy Kurian John, Paul T. Hulina, Lee D. Coraor, Dhamir N. Mannai: Classification and Performance Evaluation of Instruction Buffering Techniques. ISCA 1991: 150-159

Coauthor Index

1Adnan Aziz [15]
2Gregory E. Beers [12]
3Robert H. Bell Jr. [33] [42] [54] [64] [76] [77] [80] [87]
4Ravi Bhargava [13] [31] [34] [35] [39] [47] [56]
5Rajiv R. Bhatia [77]
6W. Lloyd Bircher [85] [91]
7Anton Blanchard [77]
8Koen De Bosschere (Koenraad De Bosschere) [54] [60] [75]
9P. Oscar Boykin [88]
10Daniel Brewer [6]
11Doug Burger [40] [51]
12James H. Burrill [51]
13Brad Calder [73]
14Louis Capps [77]
15Navin Chander [14]
16Jian Chen [83] [89]
17Parminder Singh Chhabra [15]
18Bermjae Choi [5]
19Jung Pil Choi [90]
20Mike Clark [19]
21Lee D. Coraor [1] [3] [4] [5] [7] [8]
22Michael Dahlin [51]
23Lieven Eeckhout [53] [54] [57] [60] [62] [72] [73] [75] [76] [79] [80] [84] [87] [94]
24Brian L. Evans [13] [26]
25Muhammad Umar Farooq [97] [98]
26Renato J. O. Figueiredo [88]
27José A. B. Fortes [88]
28Karthik Ganesan [92] [96]
29Andy Georges [75]
30Joydeep Ghosh [61]
31John Griswell [77]
32Sudhanva Gurumurthi [36]
33Heather Hanson [44] [70]
34Kenneth Hoste [75]
35Shiwen Hu [39] [66] [68] [74] [78]
36Paul T. Hulina [1] [3] [4] [5] [7] [8]
37Mary Jane Irwin [36] [45]
38Ciji Isen [90] [94] [95]
39Margarida F. Jacome [97]
40Praveen Kumar Jaini [15]
41Eugene John [6] [20] [67] [71] [95]
42Ajay Joshi [61] [62] [72] [76] [79] [80] [81] [86] [87]
43Ajay M. Joshi [84] [94]
44David R. Kaeli [88]
45Mahmut T. Kandemir [36]
46Stephen W. Keckler [51]
47Soontae Kim [45]
48Ashutosh Kulkarni [14]
49Viktor S. Lapinskii [26]
50Byeong Kil Lee [38] [48] [59] [67] [71]
51Charles Lefurgy [52]
52Tao Li [25] [29] [33] [35] [36] [37] [43] [46] [56] [69] [82] [88]
53David J. Lilja [73] [76] [79] [88]
54Calvin Lin [51]
55Yu-cheng Liu [9]
56Yue Luo [41] [50] [53] [57] [60] [61] [65] [81]
57Dhamir N. Mannai [1]
58Robert G. McDonald [51]
59Sally A. McKee [88]
60Kathryn S. McKinley [51] [63]
61Gokhan Memik [88]
62Alex E. Mericas [41]
63Charles R. Moore [51]
64Anupama Murthy [25]
65Arun A. Nair [93]
66Huy Nguyen [16]
67Deepak Panwar [96]
68Jie-Kwon Peir [88]
69Aashish Phansalkar [61] [62] [72] [75] [86]
70Soumya Pillai [14]
71Ramesh Radhakrishnan [13] [17] [22] [24] [27] [30] [31]
72Vinod Reddy [7] [8]
73Alain Roy [88]
74Juan C. Rubio (Juan Rubio) [17] [30] [37] [41] [52] [55] [58] [82]
75Jyotsna Sabarinathan [25] [30]
76Valentina Salapura [92]
77Resit Sendag [79]
78Pattabi Seshadri [41]
79James Sexton [92]
80R. Shalem [20]
81Anand Sivasubramaniam [25] [27] [30] [36] [37] [82]
82James E. Smith [73]
83Hyo Jung Song [90]
84Srivatsan Srinivasan [15] [18]
85Bastiaan Stougie [54]
86Jeff Stuecheli [77]
87Akila Subramanian [11]
88Deependra Talla [21] [24] [26] [28] [32] [40]
89Ravel Thai [77]
90Matthew Thazhuthaveetil [2]
91Jiajin Tu [83]
92Paul Tu [77]
93Gary S. Tyson [88]
94Madhavi Gopal Valluri [44] [63] [66] [68] [70]
95Narayanan Vijaykrishnan (Vijaykrishnan Narayanan) [25] [27] [30] [36] [37] [45] [82]
96David Wolinsky [88]
97Joshua J. Yi [73] [76] [79]
98William Yode [51]

Colors in the list of coauthors

Copyright © Sun May 17 03:24:02 2009 by Michael Ley (ley@uni-trier.de)