dblp.uni-trier.dewww.uni-trier.de

Jie S. Hu

List of publications from the DBLP Bibliography Server - FAQ
Coauthor Index - Ask others: ACM DL/Guide - CiteSeer - CSB - Google - MSN - Yahoo

2005
12EEJie S. Hu, Feihui Li, Vijay Degalahal, Mahmut T. Kandemir, Narayanan Vijaykrishnan, Mary Jane Irwin: Compiler-Directed Instruction Duplication for Soft Error Detection. DATE 2005: 1056-1057
11EEJohnsy K. John, Jie S. Hu, Sotirios G. Ziavras: Optimizing the Thermal Behavior of Subarrayed Data Caches. ICCD 2005: 625-630
2004
10EEJie S. Hu, Narayanan Vijaykrishnan, Soontae Kim, Mahmut T. Kandemir, Mary Jane Irwin: Scheduling Reusable Instructions for Power Reduction. DATE 2004: 148-155
9EEJie S. Hu, Narayanan Vijaykrishnan, Mary Jane Irwin: Exploring Wakeup-Free Instruction Scheduling. HPCA 2004: 232-243
8EEWei Zhang, Jie S. Hu, Vijay Degalahal, Mahmut T. Kandemir, Narayanan Vijaykrishnan, Mary Jane Irwin: Reducing instruction cache energy consumption using a compiler-based strategy. TACO 1(1): 3-33 (2004)
2003
7EEJie S. Hu, A. Nadgir, Narayanan Vijaykrishnan, Mary Jane Irwin, Mahmut T. Kandemir: Exploiting program hotspots and code sequentiality for instruction cache leakage management. ISLPED 2003: 402-407
6EEJie S. Hu, Narayanan Vijaykrishnan, Mary Jane Irwin, Mahmut T. Kandemir: Using Dynamic Branch Behavior for Power-Efficient Instruction Fetch. ISVLSI 2003: 127-132
5EENam Sung Kim, Todd M. Austin, David Blaauw, Trevor N. Mudge, Krisztián Flautner, Jie S. Hu, Mary Jane Irwin, Mahmut T. Kandemir, Narayanan Vijaykrishnan: Leakage Current: Moore's Law Meets Static Power. IEEE Computer 36(12): 68-75 (2003)
2002
4EEJie S. Hu, Narayanan Vijaykrishnan, Mahmut T. Kandemir, Mary Jane Irwin: Power-Efficient Trace Caches. DATE 2002: 1091
3EEJie S. Hu, Mahmut T. Kandemir, Narayanan Vijaykrishnan, Mary Jane Irwin, Hendra Saputra, Wei Zhang: Compiler-directed cache polymorphism. LCTES-SCOPES 2002: 165-174
2EEHendra Saputra, Mahmut T. Kandemir, Narayanan Vijaykrishnan, Mary Jane Irwin, Jie S. Hu, Chung-Hsing Hsu, Ulrich Kremer: Energy-conscious compilation based on voltage scaling. LCTES-SCOPES 2002: 2-11
1EEWei Zhang, Jie S. Hu, Vijay Degalahal, Mahmut T. Kandemir, Narayanan Vijaykrishnan, Mary Jane Irwin: Compiler-directed instruction cache leakage optimization. MICRO 2002: 208-218

Coauthor Index

1Todd M. Austin [5]
2David Blaauw (David T. Blaauw) [5]
3Vijay Degalahal [1] [8] [12]
4Krisztián Flautner [5]
5Chung-Hsing Hsu [2]
6Mary Jane Irwin [1] [2] [3] [4] [5] [6] [7] [8] [9] [10] [12]
7Johnsy K. John [11]
8Mahmut T. Kandemir [1] [2] [3] [4] [5] [6] [7] [8] [10] [12]
9Nam Sung Kim [5]
10Soontae Kim [10]
11Ulrich Kremer [2]
12Feihui Li [12]
13Trevor N. Mudge [5]
14A. Nadgir [7]
15Hendra Saputra [2] [3]
16Narayanan Vijaykrishnan (Vijaykrishnan Narayanan) [1] [2] [3] [4] [5] [6] [7] [8] [9] [10] [12]
17Wei Zhang [1] [3] [8]
18Sotirios G. Ziavras [11]

Colors in the list of coauthors

Copyright © Sun May 17 03:24:02 2009 by Michael Ley (ley@uni-trier.de)