dblp.uni-trier.dewww.uni-trier.de

Marco D. Santambrogio

List of publications from the DBLP Bibliography Server - FAQ
Coauthor Index - Ask others: ACM DL/Guide - CiteSeer - CSB - Google - MSN - Yahoo

2009
38EEMarco D. Santambrogio, Massimo Redaelli, Marco Maggioni: Task graph scheduling for reconfigurable architectures driven by reconfigurations hiding and resources reuse. ACM Great Lakes Symposium on VLSI 2009: 21-26
37EEDario Cozzi, Claudia Farè, Alessandro Meroni, Vincenzo Rana, Marco D. Santambrogio, Donatella Sciuto: Reconfigurable NoC design flow for multiple applications run-time mapping on FPGA devices. ACM Great Lakes Symposium on VLSI 2009: 421-424
2008
36EECarlo Curino, Luca Fossati, Vincenzo Rana, Francesco Redaelli, Marco D. Santambrogio, Donatella Sciuto: The Shining embedded system design methodology based on self dynamic reconfigurable architectures. ASP-DAC 2008: 595-600
35EEIvano Bonesana, Marco Paolieri, Marco D. Santambrogio: An adaptable FPGA-based System for Regular Expression Matching. DATE 2008: 1262-1267
34EEFrancesco Redaelli, Marco D. Santambrogio, Donatella Sciuto: Task Scheduling with Configuration Prefetching and Anti-Fragmentation techniques on Dynamically Reconfigurable Systems. DATE 2008: 519-522
33EEAndrea Cuoccio, Paolo R. Grassi, Vincenzo Rana, Marco D. Santambrogio, Donatella Sciuto: A Generation Flow for Self-Reconfiguration Controllers Customization. DELTA 2008: 279-284
32EEAlessandro Meroni, Vincenzo Rana, Marco D. Santambrogio, Donatella Sciuto: A Requirements-Driven Reconfigurable SoC Communication Infrastructure Design Flow. DELTA 2008: 405-409
31EEAlessio Montone, Marco D. Santambrogio, Donatella Sciuto: A Design Workflow for the Identification of Area Constraints in Dynamic Reconfigurable Systems. DELTA 2008: 450-453
30EEAlessandro Meroni, Vincenzo Rana, Marco D. Santambrogio, Francesco Bruschi: A Requirements-Driven Simulation Framework for Communication Infrastructures Design. FDL 2008: 111-117
29EEMarco D. Santambrogio, Vincenzo Rana, Donatella Sciuto: Operating system support for online partial dynamic reconfiguration management. FPL 2008: 455-458
28EEFabio Cancare, Marco D. Santambrogio, Donatella Sciuto: A design flow tailored for self dynamic reconfigurable architecture. IPDPS 2008: 1-8
27EEMarco D. Santambrogio, Donatella Sciuto: Design methodology for partial dynamic reconfiguration: a new degree of freedom in the HW/SW codesign. IPDPS 2008: 1-8
26EEAlessio Montone, Vincenzo Rana, Marco D. Santambrogio, Donatella Sciuto: HARPE: A Harvard-based processing element tailored for partial dynamic reconfigurable architectures. IPDPS 2008: 1-8
25EEMassimo Morandi, Marco Novati, Marco D. Santambrogio, Donatella Sciuto: Core Allocation and Relocation Management for a Self Dynamically Reconfigurable Architecture. ISVLSI 2008: 286-291
2007
24EECristiana Bolchini, Davide Quarta, Marco D. Santambrogio: SEU mitigation for sram-based fpgas through dynamic partial reconfiguration. ACM Great Lakes Symposium on VLSI 2007: 55-60
23EECristiana Bolchini, Antonio Miele, Marco D. Santambrogio: TMR and Partial Dynamic Reconfiguration to mitigate SEU faults in FPGAs. DFT 2007: 87-95
22 Cristiana Bolchini, Fabio Salice, Marco D. Santambrogio: Exploring Partial Reconfiguration for Mitigating SEU faults in SRAM-Based FPGAs. ERSA 2007: 199-202
21 Anna Antola, Marco Castagna, Pamela Gotti, Marco D. Santambrogio: Evolvable Hardware: A Functional Level Evolution Framework Based on ImpulseC. ERSA 2007: 216-219
20 Matteo Giani, Massimo Redaelli, Marco D. Santambrogio, Donatella Sciuto: Task Partitioning for the Scheduling on Reconfigurable Systems driven by Specification Self-Similarity. ERSA 2007: 78-84
19EEMarco D. Santambrogio, Seda Ogrenci Memik, Vincenzo Rana, Umut A. Acar, Donatella Sciuto: A novel SoC design methodology combining adaptive software and reconfigurable hardware. ICCAD 2007: 303-308
18EEVincenzo Rana, Marco D. Santambrogio, Donatella Sciuto, Boris Kettelhoit, Markus Köster, Mario Porrmann, Ulrich Rückert: Partial Dynamic Reconfiguration in a Multi-FPGA Clustered Architecture Based on Linux. IPDPS 2007: 1-8
17EEVincenzo Rana, Marco D. Santambrogio, Donatella Sciuto: Dynamic Reconfigurability in Embedded System Design. ISCAS 2007: 2734-2737
16EES. Corbetta, Fabrizio Ferrandi, Massimo Morandi, Marco Novati, Marco D. Santambrogio, Donatella Sciuto: Two Novel Approaches to Online Partial Bitstream Relocation in a Dynamically Reconfigurable System. ISVLSI 2007: 457-458
15EEVincenzo Rana, Chiara Sandionigi, Marco D. Santambrogio, Donatella Sciuto: An adaptive genetic algorithm for dynamically reconfigurable modules allocation. VLSI-SoC 2007: 128-133
14EEMarco Paolieri, Ivano Bonesana, Marco D. Santambrogio: ReCPU: A parallel and pipelined architecture for regular expression matching. VLSI-SoC 2007: 19-24
2006
13EERoberto Cordone, Fabrizio Ferrandi, Marco D. Santambrogio, Gianluca Palermo, Donatella Sciuto: Using speculative computation and parallelizing techniques to improve scheduling of control based designs. ASP-DAC 2006: 898-904
12 Giovanni Agosta, Francesco Bruschi, Marco D. Santambrogio, Donatella Sciuto: Synthesis of Object Oriented Models on Reconfigurable Hardware. ERSA 2006: 249-250
11 Carlo Amicucci, Fabrizio Ferrandi, Marco D. Santambrogio, Donatella Sciuto: SyCERS: a SystemC Design Exploration Framework for SoC Reconfigurable Architecture. ERSA 2006: 63-69
10EEGiovanni Agosta, Marco D. Santambrogio, Seda Ogrenci Memik: Adaptive Metrics for System-Level Functional Partitioning. FDL 2006: 153-155
9EEMarco D. Santambrogio, Donatella Sciuto: Partial Dynamic Reconfiguration: The Caronte Approach. A New Degree of Freedom in the HW/SW Codesign. FPL 2006: 1-2
8EESimone Borgio, Davide Bosisio, Fabrizio Ferrandi, Matteo Monchiero, Marco D. Santambrogio, Donatella Sciuto, Antonino Tumeo: Hardware DWT accelerator for MultiProcessor System-on-Chip on FPGA. ICSAMOS 2006: 107-114
7EEFabrizio Ferrandi, G. Ferrara, R. Palazzo, Vincenzo Rana, Marco D. Santambrogio: VHDL to FPGA automatic IP-Core generation: a case study on Xilinx design flow. IPDPS 2006
6EEMarco Giorgetta, Marco D. Santambrogio, Donatella Sciuto, Paola Spoletini: A graph-coloring approach to the allocation and tasks scheduling for reconfigurable architectures. VLSI-SoC 2006: 24-29
5EEMatteo Murgida, Alessandro Panella, Vincenzo Rana, Marco D. Santambrogio, Donatella Sciuto: Fast IP-Core Generation in a Partial Dynamic Reconfiguration Workflow. VLSI-SoC 2006: 74-79
2005
4EEGiovanni Agosta, Francesco Bruschi, Marco D. Santambrogio, Donatella Sciuto: A Data Oriented Approach to the Design of Reconfigurable Stream Decoders. ESTImedia 2005: 107-112
3EEAlberto Donato, Fabrizio Ferrandi, Massimo Redaelli, Marco D. Santambrogio, Donatella Sciuto: Caronte: A Complete Methodology for the Implementation of Partially Dynamically Self-Reconfiguring Systems on FPGA Platforms. FCCM 2005: 321-322
2EEFabrizio Ferrandi, Marco D. Santambrogio, Donatella Sciuto: A Design Methodology for Dynamic Reconfiguration: The Caronte Architecture. IPDPS 2005
1EEAlberto Donato, Fabrizio Ferrandi, Massimo Redaelli, Marco D. Santambrogio, Donatella Sciuto: Caronte: A methodology for the Implementation of Partially dynamically Self-Reconfiguring Systems on FPGA Platforms. VLSI-SoC 2005: 87-109

Coauthor Index

1Umut A. Acar [19]
2Giovanni Agosta [4] [10] [12]
3Carlo Amicucci [11]
4Anna Antola [21]
5Cristiana Bolchini [22] [23] [24]
6Ivano Bonesana [14] [35]
7Simone Borgio [8]
8Davide Bosisio [8]
9Francesco Bruschi [4] [12] [30]
10Fabio Cancare [28]
11Marco Castagna [21]
12S. Corbetta [16]
13Roberto Cordone [13]
14Dario Cozzi [37]
15Andrea Cuoccio [33]
16Carlo Curino [36]
17Alberto Donato [1] [3]
18Claudia Farè [37]
19Fabrizio Ferrandi [1] [2] [3] [7] [8] [11] [13] [16]
20G. Ferrara [7]
21Luca Fossati [36]
22Matteo Giani [20]
23Marco Giorgetta [6]
24Pamela Gotti [21]
25Paolo R. Grassi [33]
26Boris Kettelhoit [18]
27Markus Köster (Markus Koester) [18]
28Marco Maggioni [38]
29Seda Ogrenci Memik (Seda Ogrenci) [10] [19]
30Alessandro Meroni [30] [32] [37]
31Antonio Miele [23]
32Matteo Monchiero [8]
33Alessio Montone [26] [31]
34Massimo Morandi [16] [25]
35Matteo Murgida [5]
36Marco Novati [16] [25]
37R. Palazzo [7]
38Gianluca Palermo [13]
39Alessandro Panella [5]
40Marco Paolieri [14] [35]
41Mario Porrmann [18]
42Davide Quarta [24]
43Vincenzo Rana [5] [7] [15] [17] [18] [19] [26] [29] [30] [32] [33] [36] [37]
44Francesco Redaelli [34] [36]
45Massimo Redaelli [1] [3] [20] [38]
46Ulrich Rückert [18]
47Fabio Salice [22]
48Chiara Sandionigi [15]
49Donatella Sciuto [1] [2] [3] [4] [5] [6] [8] [9] [11] [12] [13] [15] [16] [17] [18] [19] [20] [25] [26] [27] [28] [29] [31] [32] [33] [34] [36] [37]
50Paola Spoletini [6]
51Antonino Tumeo [8]

Colors in the list of coauthors

Copyright © Sun May 17 03:24:02 2009 by Michael Ley (ley@uni-trier.de)