dblp.uni-trier.dewww.uni-trier.de

Vincenzo Rana

List of publications from the DBLP Bibliography Server - FAQ
Coauthor Index - Ask others: ACM DL/Guide - CiteSeer - CSB - Google - MSN - Yahoo

2009
15EEDario Cozzi, Claudia Farè, Alessandro Meroni, Vincenzo Rana, Marco D. Santambrogio, Donatella Sciuto: Reconfigurable NoC design flow for multiple applications run-time mapping on FPGA devices. ACM Great Lakes Symposium on VLSI 2009: 421-424
2008
14EECarlo Curino, Luca Fossati, Vincenzo Rana, Francesco Redaelli, Marco D. Santambrogio, Donatella Sciuto: The Shining embedded system design methodology based on self dynamic reconfigurable architectures. ASP-DAC 2008: 595-600
13EEAndrea Cuoccio, Paolo R. Grassi, Vincenzo Rana, Marco D. Santambrogio, Donatella Sciuto: A Generation Flow for Self-Reconfiguration Controllers Customization. DELTA 2008: 279-284
12EEAlessandro Meroni, Vincenzo Rana, Marco D. Santambrogio, Donatella Sciuto: A Requirements-Driven Reconfigurable SoC Communication Infrastructure Design Flow. DELTA 2008: 405-409
11EEVincenzo Rana, Matteo Matteucci, Daniele Caltabiano, Roberto Sannino, Andrea Bonarini: Low cost smartcams design. ESTImedia 2008: 27-32
10EEFrancesco Bruschi, Vincenzo Rana, Donatella Sciuto: An architecture for dynamically reconfigurable real time audio processing systems. ESTImedia 2008: 81-86
9EEAlessandro Meroni, Vincenzo Rana, Marco D. Santambrogio, Francesco Bruschi: A Requirements-Driven Simulation Framework for Communication Infrastructures Design. FDL 2008: 111-117
8EEMarco D. Santambrogio, Vincenzo Rana, Donatella Sciuto: Operating system support for online partial dynamic reconfiguration management. FPL 2008: 455-458
7EEAlessio Montone, Vincenzo Rana, Marco D. Santambrogio, Donatella Sciuto: HARPE: A Harvard-based processing element tailored for partial dynamic reconfigurable architectures. IPDPS 2008: 1-8
2007
6EEMarco D. Santambrogio, Seda Ogrenci Memik, Vincenzo Rana, Umut A. Acar, Donatella Sciuto: A novel SoC design methodology combining adaptive software and reconfigurable hardware. ICCAD 2007: 303-308
5EEVincenzo Rana, Marco D. Santambrogio, Donatella Sciuto, Boris Kettelhoit, Markus Köster, Mario Porrmann, Ulrich Rückert: Partial Dynamic Reconfiguration in a Multi-FPGA Clustered Architecture Based on Linux. IPDPS 2007: 1-8
4EEVincenzo Rana, Marco D. Santambrogio, Donatella Sciuto: Dynamic Reconfigurability in Embedded System Design. ISCAS 2007: 2734-2737
3EEVincenzo Rana, Chiara Sandionigi, Marco D. Santambrogio, Donatella Sciuto: An adaptive genetic algorithm for dynamically reconfigurable modules allocation. VLSI-SoC 2007: 128-133
2006
2EEFabrizio Ferrandi, G. Ferrara, R. Palazzo, Vincenzo Rana, Marco D. Santambrogio: VHDL to FPGA automatic IP-Core generation: a case study on Xilinx design flow. IPDPS 2006
1EEMatteo Murgida, Alessandro Panella, Vincenzo Rana, Marco D. Santambrogio, Donatella Sciuto: Fast IP-Core Generation in a Partial Dynamic Reconfiguration Workflow. VLSI-SoC 2006: 74-79

Coauthor Index

1Umut A. Acar [6]
2Andrea Bonarini [11]
3Francesco Bruschi [9] [10]
4Daniele Caltabiano [11]
5Dario Cozzi [15]
6Andrea Cuoccio [13]
7Carlo Curino [14]
8Claudia Farè [15]
9Fabrizio Ferrandi [2]
10G. Ferrara [2]
11Luca Fossati [14]
12Paolo R. Grassi [13]
13Boris Kettelhoit [5]
14Markus Köster (Markus Koester) [5]
15Matteo Matteucci [11]
16Seda Ogrenci Memik (Seda Ogrenci) [6]
17Alessandro Meroni [9] [12] [15]
18Alessio Montone [7]
19Matteo Murgida [1]
20R. Palazzo [2]
21Alessandro Panella [1]
22Mario Porrmann [5]
23Francesco Redaelli [14]
24Ulrich Rückert [5]
25Chiara Sandionigi [3]
26Roberto Sannino [11]
27Marco D. Santambrogio [1] [2] [3] [4] [5] [6] [7] [8] [9] [12] [13] [14] [15]
28Donatella Sciuto [1] [3] [4] [5] [6] [7] [8] [10] [12] [13] [14] [15]

Colors in the list of coauthors

Copyright © Sun May 17 03:24:02 2009 by Michael Ley (ley@uni-trier.de)