dblp.uni-trier.dewww.uni-trier.de

Iris Hui-Ru Jiang

List of publications from the DBLP Bibliography Server - FAQ
Coauthor Index - Ask others: ACM DL/Guide - CiteSeer - CSB - Google - MSN - Yahoo

2009
13EEWan-Yu Lee, Iris Hui-Ru Jiang: VIFI-CMP: variability-tolerant chip-multiprocessors for throughput and power. ACM Great Lakes Symposium on VLSI 2009: 39-44
2008
12EEIris Hui-Ru Jiang, Ming-Hua Wu: Power-state-aware buffered tree construction. ICCD 2008: 21-26
11EEIris Hui-Ru Jiang, Yen-Ting Yu: Configurable rectilinear Steiner tree construction for SoC and nano technologies. ICCD 2008: 34-39
10EEIris Hui-Ru Jiang, Shung-Wei Lin, Yen-Ting Yu: Unification of obstacle-avoiding rectilinear Steiner tree construction. SoCC 2008: 127-130
2006
9EEIris Hui-Ru Jiang, Song-Ra Pan, Yao-Wen Chang, Jing-Yang Jou: Reliable crosstalk-driven interconnect optimization. ACM Trans. Design Autom. Electr. Syst. 11(1): 88-103 (2006)
2004
8EEIris Hui-Ru Jiang, Yao-Wen Chang, Jing-Yang Jou, Kai-Yuan Chao: Simultaneous floor plan and buffer-block optimization. IEEE Trans. on CAD of Integrated Circuits and Systems 23(5): 694-703 (2004)
2002
7EENicholas Chia-Yuan Chang, Yao-Wen Chang, Iris Hui-Ru Jiang: Formulae for Performance Optimization and Their Applications to Interconnect-Driven Floorplanning. ISQED 2002: 523-528
2000
6EEIris Hui-Ru Jiang, Song-Ra Pan, Yao-Wen Chang, Jing-Yang Jou: Optimal reliable crosstalk-driven interconnect optimization. ISPD 2000: 128-133
5EEIris Hui-Ru Jiang, Yao-Wen Chang, Jing-Yang Jou: Crosstalk-driven interconnect optimization by simultaneous gate andwire sizing. IEEE Trans. on CAD of Integrated Circuits and Systems 19(9): 999-1010 (2000)
1999
4EEJiann-Horng Lin, Jing-Yang Jou, Iris Hui-Ru Jiang: Hierarchical Floorplan Design on the Internet. ASP-DAC 1999: 189-192
3EEIris Hui-Ru Jiang, Jing-Yang Jou, Yao-Wen Chang: Noise-Constrained Performance Optimization by Simultaneous Gate and Wire Sizing Based on Lagrangian Relaxation. DAC 1999: 90-95
2EEMango Chia-Tso Chao, Guang-Ming Wu, Iris Hui-Ru Jiang, Yao-Wen Chang: A clustering- and probability-based approach for time-multiplexed FPGA partitioning. ICCAD 1999: 364-369
1EEJie-Hong Roland Jiang, Iris Hui-Ru Jiang: Optimum loading dispersion for high-speed tree-type decision circuitry. ICCAD 1999: 520-525

Coauthor Index

1Nicholas Chia-Yuan Chang [7]
2Yao-Wen Chang [2] [3] [5] [6] [7] [8] [9]
3Kai-Yuan Chao [8]
4Mango Chia-Tso Chao [2]
5Jie-Hong Roland Jiang [1]
6Jing-Yang Jou [3] [4] [5] [6] [8] [9]
7Wan-Yu Lee [13]
8Jiann-Horng Lin [4]
9Shung-Wei Lin [10]
10Song-Ra Pan [6] [9]
11Guang-Ming Wu [2]
12Ming-Hua Wu [12]
13Yen-Ting Yu [10] [11]

Colors in the list of coauthors

Copyright © Sun May 17 03:24:02 2009 by Michael Ley (ley@uni-trier.de)