dblp.uni-trier.dewww.uni-trier.de

Alexandru Nicolau

Alex Nicolau

List of publications from the DBLP Bibliography Server - FAQ
Coauthor Index - Ask others: ACM DL/Guide - CiteSeer - CSB - Google - MSN - Yahoo
Home Page

2009
196EEAlexandru Nicolau, Guangqiang Li, Arun Kejariwal: Techniques for efficient placement of synchronization primitives. PPOPP 2009: 199-208
195EEDarshan Desai, Gerolf Hoflehner, Arun Kejariwal, Daniel M. Lavery, Alexandru Nicolau, Alexander V. Veidenbaum, Cameron McNairy: Performance Characterization of Itanium® 2-Based Montecito Processor. SPEC Benchmark Workshop 2009: 36-56
194EEArun Kejariwal, Alexandru Nicolau, Utpal Banerjee, Alexander V. Veidenbaum, Constantine D. Polychronopoulos: Cache-aware partitioning of multi-dimensional iteration spaces. SYSTOR 2009: 15
193EEArun Kejariwal, Alexander V. Veidenbaum, Alexandru Nicolau, Milind Girkar, Xinmin Tian, Hideki Saito: On the exploitation of loop-level parallelism in embedded applications. ACM Trans. Embedded Comput. Syst. 8(2): (2009)
192EEPaolo D'Alberto, Alexandru Nicolau: Adaptive Winograd's matrix multiplications. ACM Trans. Math. Softw. 36(1): (2009)
2008
191EEMohammad Ali Ghodrat, Tony Givargis, Alex Nicolau: Control flow optimization in loops using interval analysis. CASES 2008: 157-166
190EECarmen Badea, Alexandru Nicolau, Alexander V. Veidenbaum: Impact of JVM superoperators on energy consumption in resource-constrained embedded systems. LCTES 2008: 23-30
189EEArun Kejariwal, Alexandru Nicolau, Utpal Banerjee, Alexander V. Veidenbaum, Constantine D. Polychronopoulos: Cache-aware iteration space partitioning. PPOPP 2008: 269-270
188EESanghyun Park, Aviral Shrivastava, Nikil D. Dutt, Alexandru Nicolau, Yunheung Paek, Eugene Earlie: Register File Power Reduction Using Bypass Sensitive Compiler. IEEE Trans. on CAD of Integrated Circuits and Systems 27(6): 1155-1159 (2008)
2007
187EEMohammad Ali Ghodrat, Tony Givargis, Alex Nicolau: Short-Circuit Compiler Transformation: Optimizing Conditional Blocks. ASP-DAC 2007: 504-510
186EECarmen Badea, Alexandru Nicolau, Alexander V. Veidenbaum: A simplified java bytecode compilation system for resource-constrained embedded processors. CASES 2007: 218-228
185EEPaolo D'Alberto, Alexandru Nicolau: Adaptive Strassen's matrix multiplication. ICS 2007: 284-292
184EERadu Cornea, Alex Nicolau, Nikil Dutt: Annotation Integration and Trade-off Analysis for Multimedia Applications. IPDPS 2007: 1-6
183 Jeff Furlong, Andrew Felch, Jayram Moorkanikara Nageswaran, Nikil Dutt, Alex Nicolau, Alexander V. Veidenbaum, Ashok Chandrashekar, Richard Granger: Novel Brain-Derived Algorithms Scale Linearly with Number of Processing Elements. PARCO 2007: 767-776
182EEArun Kejariwal, Xinmin Tian, Milind Girkar, Wei Li, Sergey Kozhukhov, Utpal Banerjee, Alexandru Nicolau, Alexander V. Veidenbaum, Constantine D. Polychronopoulos: Tight analysis of the performance potential of thread speculation using spec CPU 2006. PPOPP 2007: 215-225
181EEArun Kejariwal, Gerolf Hoflehner, Darshan Desai, Daniel M. Lavery, Alexandru Nicolau, Alexander V. Veidenbaum: Comparative characterization of SPEC CPU2000 and CPU2006 on Itanium architecture. SIGMETRICS 2007: 361-362
180EEWeiyu Tang, Arun Kejariwal, Alexander V. Veidenbaum, Alexandru Nicolau: A predictive decode filter cache for reducing power consumption in embedded processors. ACM Trans. Design Autom. Electr. Syst. 12(2): (2007)
179EEPaolo D'Alberto, Alexandru Nicolau: R-Kleene: A High-Performance Divide-and-Conquer Algorithm for the All-Pair Shortest Path for Densely Connected Networks. Algorithmica 47(2): 203-213 (2007)
178EEShivajit Mohapatra, Nikil Dutt, Alexandru Nicolau, Nalini Venkatasubramanian: DYNAMO: A Cross-Layer Framework for End-to-End QoS and Energy Optimization in Mobile Handheld Devices. IEEE Journal on Selected Areas in Communications 25(4): 722-737 (2007)
177EEAviral Shrivastava, Sanghyun Park, Eugene Earlie, Nikil D. Dutt, Alexandru Nicolau, Yunheung Paek: Automatic Design Space Exploration of Register Bypasses in Embedded Processors. IEEE Trans. on CAD of Integrated Circuits and Systems 26(12): 2102-2115 (2007)
2006
176EEArun Kejariwal, Alexander V. Veidenbaum, Alexandru Nicolau, Milind Girkar, Xinmin Tian, Hideki Saito: Challenges in exploitation of loop parallelism in embedded applications. CODES+ISSS 2006: 173-180
175EESanghyun Park, Eugene Earlie, Aviral Shrivastava, Alex Nicolau, Nikil Dutt, Yunheung Paek: Automatic generation of operation tables for fast exploration of bypasses in embedded processors. DATE 2006: 1197-1202
174EERadu Cornea, Alexandru Nicolau, Nikil D. Dutt: Software annotations for power optimization on mobile devices. DATE 2006: 684-689
173EERadu Cornea, Alex Nicolau, Nikil Dutt: Annotation Based Multimedia Streaming Over Wireless Networks. ESTImedia 2006: 47-52
172EEMilind Girkar, Arun Kejariwal, Xinmin Tian, Hideki Saito, Alexandru Nicolau, Alexander V. Veidenbaum, Constantine D. Polychronopoulos: Probablistic Self-Scheduling. Euro-Par 2006: 253-264
171EEArun Kejariwal, Alexandru Nicolau, Constantine D. Polychronopoulos: History-aware Self-Scheduling. ICPP 2006: 185-192
170EEArun Kejariwal, Xinmin Tian, Wei Li, Milind Girkar, Sergey Kozhukhov, Hideki Saito, Utpal Banerjee, Alexandru Nicolau, Alexander V. Veidenbaum, Constantine D. Polychronopoulos: On the performance potential of different types of speculative thread-level parallelism: The DL version of this paper includes corrections that were not made available in the printed proceedings. ICS 2006: 24
169EEArun Kejariwal, Hideki Saito, Xinmin Tian, Milind Girkar, Wei Li, Utpal Banerjee, Alexandru Nicolau, Constantine D. Polychronopoulos: Lightweight lock-free synchronization methods for multithreading. ICS 2006: 361-371
168EERadu Cornea, Alex Nicolau, Nikil Dutt: Video Stream Annotations for Energy Trade-offs in Multimedia Applications. ISPDC 2006: 17-23
167EESanghyun Park, Aviral Shrivastava, Nikil D. Dutt, Alexandru Nicolau, Yunheung Paek, Eugene Earlie: Bypass aware instruction scheduling for register file power reduction. LCTES 2006: 173-181
166EEArun Kejariwal, Alexandru Nicolau, Hideki Saito, Xinmin Tian, Milind Girkar, Utpal Banerjee, Constantine D. Polychronopoulos: A general approach for partitioning N-dimensional parallel nested loops with conditionals. SPAA 2006: 49-58
165EEAviral Shrivastava, Partha Biswas, Ashok Halambi, Nikil D. Dutt, Alexandru Nicolau: Compilation framework for code size reduction using reduced bit-width ISAs (rISAs). ACM Trans. Design Autom. Electr. Syst. 11(1): 123-146 (2006)
164EEArun Kejariwal, Sumit Gupta, Alexandru Nicolau, Nikil D. Dutt, Rajesh K. Gupta: Energy efficient watermarking on mobile devices using proxy-based partitioning. IEEE Trans. VLSI Syst. 14(6): 625-636 (2006)
163EEAviral Shrivastava, Eugene Earlie, Nikil D. Dutt, Alexandru Nicolau: Retargetable pipeline hazard detection for partially bypassed processors. IEEE Trans. VLSI Syst. 14(8): 791-801 (2006)
162EEMohammad Ali Ghodrat, Tony Givargis, Alexandru Nicolau: Expression equivalence checking using interval analysis. IEEE Trans. VLSI Syst. 14(8): 830-842 (2006)
161EEMinyoung Kim, Hyunok Oh, Nikil Dutt, Alex Nicolau, Nalini Venkatasubramanian: PBPAIR: an energy-efficient error-resilient encoding using probability based power aware intra refresh. Mobile Computing and Communications Review 10(3): 58-69 (2006)
2005
160EEMohammad Ali Ghodrat, Tony Givargis, Alexandru Nicolau: Equivalence checking of arithmetic expressions using fast evaluation. CASES 2005: 147-156
159EEAviral Shrivastava, Eugene Earlie, Nikil D. Dutt, Alexandru Nicolau: Aggregating processor free time for energy reduction. CODES+ISSS 2005: 154-159
158EEAviral Shrivastava, Nikil D. Dutt, Alexandru Nicolau, Eugene Earlie: PBExplore: A Framework for Compiler-in-the-Loop Exploration of Partial Bypassing in Embedded Processors. DATE 2005: 1264-1269
157EEAna Azevedo, Arun Kejariwal, Alexander V. Veidenbaum, Alexandru Nicolau: High performance annotation-aware JVM for Java cards. EMSOFT 2005: 52-61
156EEArun Kejariwal, Sumit Gupta, Alexandru Nicolau, Nikil Dutt, Rajesh Gupta: Energy Analysis of Multimedia Watermarking on Mobile Handheld Devices. ESTImedia 2005: 33-38
155EEMinyoung Kim, Hyunok Oh, Nikil D. Dutt, Alexandru Nicolau, Nalini Venkatasubramanian: Probability Based Power Aware Error Resilient Coding. ICDCS Workshops 2005: 307-313
154EEShivajit Mohapatra, Radu Cornea, Hyunok Oh, Kyoungwoo Lee, Minyoung Kim, Nikil D. Dutt, Rajesh Gupta, Alexandru Nicolau, Sandeep K. Shukla, Nalini Venkatasubramanian: A Cross-Layer Approach for Power-Performance Optimization in Distributed Mobile Systems. IPDPS 2005
153EEArun Kejariwal, Alexandru Nicolau: An Efficient Load Balancing Scheme for Grid-based High Performance Scientific Computing. ISPDC 2005: 217-225
152EEArun Kejariwal, Alexandru Nicolau, Constantine D. Polychronopoulos: An Efficient Approach for Self-scheduling Parallel Loops on Multiprogrammed Parallel Computers. LCPC 2005: 441-449
151EEArun Kejariwal, Alexandru Nicolau, Utpal Banerjee, Constantine D. Polychronopoulos: A novel approach for partitioning iteration spaces with variable densities. PPOPP 2005: 120-131
150EEPaolo D'Alberto, Alexandru Nicolau, Alexander V. Veidenbaum, Rajesh K. Gupta: Line Size Adaptivity Analysis of Parameterized Loop Nests for Direct Mapped Data Cache. IEEE Trans. Computers 54(2): 185-197 (2005)
2004
149EEAviral Shrivastava, Eugene Earlie, Nikil D. Dutt, Alexandru Nicolau: Operation tables for scheduling in the presence of incomplete bypassing. CODES+ISSS 2004: 194-199
148EEArun Kejariwal, Sumit Gupta, Alexandru Nicolau, Nikil Dutt, Rajesh Gupta: Proxy-based task partitioning of watermarking algorithms for reducing energy consumption in mobile devices. DAC 2004: 556-561
147EESumit Gupta, Nikil Dutt, Rajesh Gupta, Alexandru Nicolau: Loop Shifting and Compaction for the High-Level Synthesis of Designs with Complex Control Flow. DATE 2004: 114-121
146EENikhil Bansal, Sumit Gupta, Nikil Dutt, Alexandru Nicolau, Rajesh Gupta: Network Topology Exploration of Mesh-Based Coarse-Grain Reconfigurable Architectures. DATE 2004: 474-479
145EENikhil Bansal, Sumit Gupta, Nikil D. Dutt, Alexandru Nicolau, Rajesh K. Gupta: Interconnect-Aware Mapping of Applications to Coarse-Grain Reconfigurable Architectures. FPL 2004: 891-899
144EEArun Kejariwal, Paolo D'Alberto, Alexandru Nicolau, Constantine D. Polychronopoulos: A Geometric Approach for Partitioning N-Dimensional Non-rectangular Iteration Spaces. LCPC 2004: 102-116
143EEPaolo D'Alberto, Alexandru Nicolau: JuliusC: A Practical Approach for the Analysis of Divide-and-Conquer Algorithms. LCPC 2004: 117-131
142EEDan Nicolaescu, Alexander V. Veidenbaum, Alexandru Nicolau: Caching Values in the Load Store Queue. MASCOTS 2004: 580-587
141EESumit Gupta, Rajesh K. Gupta, Nikil D. Dutt, Alexandru Nicolau: Coordinated parallelizing compiler optimizations and high-level synthesis. ACM Trans. Design Autom. Electr. Syst. 9(4): 441-470 (2004)
140EESumit Gupta, Nicolae Savoiu, Nikil D. Dutt, Rajesh K. Gupta, Alexandru Nicolau: Using global code motions to improve the quality of results for high-level synthesis. IEEE Trans. on CAD of Integrated Circuits and Systems 23(2): 302-312 (2004)
2003
139EEShivajit Mohapatra, Radu Cornea, Nikil D. Dutt, Alexandru Nicolau, Nalini Venkatasubramanian: Integrated power management for video streaming to mobile handheld devices. ACM Multimedia 2003: 582-591
138EESumit Gupta, Nikil D. Dutt, Rajesh K. Gupta, Alexandru Nicolau: Dynamic Conditional Branch Balancing during the High-Level Synthesis of Control-Intensive Designs. DATE 2003: 10270-10275
137EEDan Nicolaescu, Alexander V. Veidenbaum, Alexandru Nicolau: Reducing Power Consumption for High-Associativity Data Caches in Embedded Processors. DATE 2003: 11064-11069
136EEManev Luthra, Sumit Gupta, Nikil D. Dutt, Rajesh K. Gupta, Alexandru Nicolau: Interface Synthesis using Memory Mapping for an FPGA Platform. ICCD 2003: 140-145
135EERadu Cornea, Nikil D. Dutt, Rajesh K. Gupta, Ingolf Krüger, Alexandru Nicolau, Douglas C. Schmidt, Sandeep K. Shukla: FORGE: A Framework for Optimization of Distributed Embedded Systems Software. IPDPS 2003: 208
134EEDan Nicolaescu, Alexander V. Veidenbaum, Alexandru Nicolau: Reducing data cache energy consumption via cached load/store queue. ISLPED 2003: 252-257
133EEPaolo D'Alberto, Alexandru Nicolau, Alexander V. Veidenbaum: A Data Cache with Dynamic Mapping. LCPC 2003: 436-450
132EESumit Gupta, Nikil D. Dutt, Rajesh K. Gupta, Alexandru Nicolau: SPARK: A High-Lev l Synthesis Framework For Applying Parallelizing Compiler Transformations. VLSI Design 2003: 461-466
131EEPeter Grun, Nikil D. Dutt, Alexandru Nicolau: Access pattern-based memory and connectivity architecture exploration. ACM Trans. Embedded Comput. Syst. 2(1): 33-73 (2003)
130EEPeter Grun, Ashok Halambi, Nikil D. Dutt, Alexandru Nicolau: RTGEN-an algorithm for automatic generation of reservation tables from architectural descriptions. IEEE Trans. VLSI Syst. 11(4): 731-737 (2003)
2002
129 Dan Grigoras, Alexandru Nicolau, Bernard Toursel, Bertil Folliot: Advanced Environments, Tools, and Applications for Cluster Computing, NATO Advanced Research Workshop, IWCC 2001, Mangalia, Romania, September 1-6, 2001, Revised Papers Springer 2002
128EESumit Gupta, Nick Savoiu, Nikil D. Dutt, Rajesh K. Gupta, Alexandru Nicolau, Timothy Kam, Michael Kishinevsky, Shai Rotem: Coordinated transformations for high-level synthesis of high performance microprocessor blocks. DAC 2002: 898-903
127EEAna Azevedo, Ilya Issenin, Radu Cornea, Rajesh Gupta, Nikil D. Dutt, Alexander V. Veidenbaum, Alexandru Nicolau: Profile-Based Dynamic Voltage Scheduling Using Program Checkpoints. DATE 2002: 168-175
126EEPrabhat Mishra, Nikil D. Dutt, Alexandru Nicolau, Hiroyuki Tomiyama: Automatic Verification of In-Order Execution In Microprocessors with Fragmented Pipelines and Multicycle Functional Units. DATE 2002: 36-43
125EEAshok Halambi, Aviral Shrivastava, Partha Biswas, Nikil D. Dutt, Alexandru Nicolau: An Efficient Compiler Technique for Code Size Reduction Using Reduced Bit-Width ISAs. DATE 2002: 402-408
124EEWeiyu Tang, Rajesh K. Gupta, Alexandru Nicolau: Power Savings in Embedded Processors through Decode Filer Cache. DATE 2002: 443-448
123EEPeter Grun, Nikil D. Dutt, Alexandru Nicolau: Memory System Connectivity Exploration. DATE 2002: 894-901
122EEWeiyu Tang, Alexander V. Veidenbaum, Alexandru Nicolau, Rajesh K. Gupta: Integrated I-cache Way Predictor and Branch Target Buffer to Reduce Energy Consumption. ISHPC 2002: 120-132
121EEAlexandru Nicolau, Nikil D. Dutt, Aviral Shrivastava, Partha Biswas, Ashok Halambi: A Design Space Exploration Framework for Reduced Bit-Width Instruction Set Architecture (rISA) Design . ISSS 2002: 120-125
120EEAlexandru Nicolau, Nikil D. Dutt, Rajesh Gupta, Nick Savoiu, Mehrdad Reshadi, Sumit Gupta: Dynamic Common Sub-Expression Elimination during Scheduling in High-Level Synthesis. ISSS 2002: 261-266
119EEPrabhat Mishra, Hiroyuki Tomiyama, Ashok Halambi, Peter Grun, Nikil D. Dutt, Alexandru Nicolau: Automatic Modeling and Validation of Pipeline Specifications Driven by an Architecture Description Language. VLSI Design 2002: 458-
2001
118EENikil D. Dutt, Alexandru Nicolau, Hiroyuki Tomiyama, Ashok Halambi: New directions in compiler technology for embedded systems (embedded tutorial). ASP-DAC 2001: 409-414
117EEGianfranco Bilardi, Paolo D'Alberto, Alexandru Nicolau: Fractal Matrix Multiplication: A Case Study on Portability of Cache Performance. Algorithm Engineering 2001: 26-38
116EESumit Gupta, Nick Savoiu, Sunwoo Kim, Nikil D. Dutt, Rajesh K. Gupta, Alexandru Nicolau: Speculation Techniques for High Level Synthesis of Control Intensive Designs. DAC 2001: 269-272
115EEPeter Grun, Nikil D. Dutt, Alexandru Nicolau: Access pattern based local memory customization for low power embedded systems. DATE 2001: 778-784
114 Weiyu Tang, Rajesh K. Gupta, Alexandru Nicolau: Design of a Predictive Filter Cache for Energy Savings in High Performance Processor Architectures. ICCD 2001: 68-75
113 Sumit Gupta, Nick Savoiu, Nikil D. Dutt, Rajesh K. Gupta, Alexandru Nicolau: Conditional speculation and its effects on performance and area for high-level snthesis. ISSS 2001: 171-176
112 Peter Grun, Nikil D. Dutt, Alexandru Nicolau: APEX. ISSS 2001: 25-32
111 Prabhat Mishra, Nikil D. Dutt, Alexandru Nicolau: Functional abstraction driven design space exploration of heterogeneous programmable architectures. ISSS 2001: 256-261
110EEPrabhat Mishra, Peter Grun, Nikil D. Dutt, Alexandru Nicolau: Processor-Memory Co-Exploration driven by a Memory-Aware Architecture Description Language. VLSI Design 2001: 70-75
109EEPreeti Ranjan Panda, Nikil D. Dutt, Alexandru Nicolau, Francky Catthoor, Arnout Vandecappelle, Erik Brockmeyer, Chidamber Kulkarni, Eddy de Greef: Data Memory Organization and Optimizations in Application-Specific Systems. IEEE Design & Test of Computers 18(3): 56-68 (2001)
2000
108EEPeter Grun, Nikil D. Dutt, Alexandru Nicolau: Memory aware compilation through accurate timing extraction. DAC 2000: 316-321
107EEAshok Halambi, Radu Cornea, Peter Grun, Nikil D. Dutt, Alexandru Nicolau: Architecture Exploration of Parameterizable EPIC SOC Architectures. DATE 2000: 748
106 Ashok Halambi, Nikil D. Dutt, Alexandru Nicolau: Customizing Software Toolkits for Embedded Systems-On-Chip. DIPES 2000: 87-98
105 Peter Grun, Nikil D. Dutt, Alexandru Nicolau: MIST: An Algorithm for Memory Miss Traffic Management. ICCAD 2000: 431-437
104EESrinivas Mantripragada, Alexandru Nicolau: Using profiling to reduce branch misprediction costs on a dynamically scheduled processor. ICS 2000: 206-214
103EEXiaomei Ji, Dan Nicolaescu, Alexander V. Veidenbaum, Alexandru Nicolau, Rajesh K. Gupta: Compiler-Directed Cache Assist Adaptivity. ISHPC 2000: 88-104
102EEPeter Grun, Nikil D. Dutt, Alexandru Nicolau: Aggressive Memory-Aware Compilation. Intelligent Memory Systems 2000: 147-151
101EEDan Nicolaescu, Xiaomei Ji, Alexander V. Veidenbaum, Alexandru Nicolau, Rajesh K. Gupta: Compiler-Directed Cache Line Size Adaptivity. Intelligent Memory Systems 2000: 183-187
100EEPreeti Ranjan Panda, Nikil D. Dutt, Alexandru Nicolau: On-chip vs. off-chip memory: the data partitioning problem in embedded processor-based systems. ACM Trans. Design Autom. Electr. Syst. 5(3): 682-704 (2000)
99 Ana Azevedo, Alexandru Nicolau, Joseph Hummel: An annotation-aware Java virtual machine implementation. Concurrency - Practice and Experience 12(6): 423-444 (2000)
98 Hideki Saito, Nicholas Stavrakos, Constantine D. Polychronopoulos, Alexandru Nicolau: The Design of the PROMIS Compiler-Towards Multi-Level Parallelization. International Journal of Parallel Programming 28(2): 195-212 (2000)
1999
97 Hideki Saito, Nicholas Stavrakos, Steven Carroll, Constantine D. Polychronopoulos, Alexandru Nicolau: The Design of the PROMIS Compiler. CC 1999: 214-228
96EEAshok Halambi, Peter Grun, Vijay Ganesh, Asheesh Khare, Nikil D. Dutt, Alexandru Nicolau: EXPRESSION: A Language for Architecture Exploration through Compiler/Simulator Retargetability. DATE 1999: 485-490
95EEAsheesh Khare, Nicolae Savoiu, Ashok Halambi, Peter Grun, Nikil D. Dutt, Alexandru Nicolau: V-SAT: A Visual Specification and Analysis Tool for System-On-Chip Exploration. EUROMICRO 1999: 1196-1203
94EEPeter Grun, Ashok Halambi, Nikil D. Dutt, Alexandru Nicolau: RTGEN: An Algorithm for Automatic Generation of Reservation Tables from Architectural Descriptions. ISSS 1999: 44-50
93EEAlexander V. Veidenbaum, Weiyu Tang, Rajesh K. Gupta, Alexandru Nicolau, Xiaomei Ji: Adapting cache line size to application behavior. International Conference on Supercomputing 1999: 145-154
92EEAna Azevedo, Alexandru Nicolau, Joseph Hummel: Java Annotation-Aware Just-in-Time (AJIT) Complilation System. Java Grande 1999: 142-151
91EENicholas Stavrakos, Steven Carroll, Hideki Saito, Constantine D. Polychronopoulos, Alexandru Nicolau: Symbolic Analysis in the PROMIS Compiler. LCPC 1999: 468-471
90EEPreeti Ranjan Panda, Hiroshi Nakamura, Nikil D. Dutt, Alexandru Nicolau: Augmenting Loop Tiling with Data Alignment for Improved Cache Performance. IEEE Trans. Computers 48(2): 142-149 (1999)
89EEPreeti Ranjan Panda, Nikil D. Dutt, Alexandru Nicolau: Local memory exploration and optimization in embedded systems. IEEE Trans. on CAD of Integrated Circuits and Systems 18(1): 3-13 (1999)
1998
88EEPreeti Ranjan Panda, Nikil D. Dutt, Alexandru Nicolau: Data Cache Sizing for Embedded Processor Applications. DATE 1998: 925-926
87EEM. Srinivas, Alexandru Nicolau: Analyzing the Individual/Combined Effects of Speculative and Guarded Execution on a Superscalar Architecture. IPPS/SPDP 1998: 199-208
86EEDavid J. Kolson, Alexandru Nicolau, Nikil D. Dutt: Copy Elimination for Parallelizing Compilers. LCPC 1998: 275-289
85EEPreeti Ranjan Panda, Nikil D. Dutt, Alexandru Nicolau: Incorporating DRAM access modes into high-level synthesis. IEEE Trans. on CAD of Integrated Circuits and Systems 17(2): 96-109 (1998)
84 Alexandru Nicolau: Editor's Announcement. International Journal of Parallel Programming 26(1): 1-2 (1998)
1997
83 David C. Sehr, Utpal Banerjee, David Gelernter, Alexandru Nicolau, David A. Padua: Languages and Compilers for Parallel Computing, 9th International Workshop, LCPC'96, San Jose, California, USA, August 8-10, 1996, Proceedings Springer 1997
82EEPreeti Ranjan Panda, Nikil D. Dutt, Alexandru Nicolau: Efficient utilization of scratch-pad memory in embedded processor applications. ED&TC 1997: 7-11
81EEPreeti Ranjan Panda, Nikil D. Dutt, Alexandru Nicolau: Exploiting off-chip memory access modes in high-level synthesis. ICCAD 1997: 333-340
80 Preeti Ranjan Panda, Hiroshi Nakamura, Nikil D. Dutt, Alexandru Nicolau: A Data Alignment Technique for Improving Cache Performance. ICCD 1997: 587-592
79EECarrie J. Brownhill, Alexandru Nicolau, Steven Novack, Constantine D. Polychronopoulos: The PROMIS Compiler Prototype. IEEE PACT 1997: 116-125
78 Preeti Ranjan Panda, Hiroshi Nakamura, Nikil D. Dutt, Alexandru Nicolau: Improving cache Performance Through Tiling and Data Alignment. IRREGULAR 1997: 167-185
77 Carrie J. Brownhill, Alexandru Nicolau, Steven Novack, Constantine D. Polychronopoulos: Achieving Multi-level Parallelization. ISHPC 1997: 183-194
76EEPreeti Ranjan Panda, Nikil D. Dutt, Alexandru Nicolau: Architectural Exploration and Optimization of Local Memory in Embedded Systems. ISSS 1997: 90-
75 Gianfranco Bilardi, Alexandru Nicolau, Joseph Hummel: A Systematic Approach to Branch Speculation. LCPC 1997: 394-411
74EEPreeti Ranjan Panda, Nikil D. Dutt, Alexandru Nicolau: Memory data organization for improved cache performance in embedded processor applications. ACM Trans. Design Autom. Electr. Syst. 2(4): 384-409 (1997)
73 Steven Novack, Alexandru Nicolau: Resource Directed Loop Pipelining: Exposing Just Enough Parallelism. Comput. J. 40(6): 311-321 (1997)
72 Joseph Hummel, Ana Azevedo, David J. Kolson, Alexandru Nicolau: Annotating the Java Bytecodes in Support of Optimization. Concurrency - Practice and Experience 9(11): 1003-1016 (1997)
1996
71 Chua-Huang Huang, P. Sadayappan, Utpal Banerjee, David Gelernter, Alexandru Nicolau, David A. Padua: Languages and Compilers for Parallel Computing, 8th International Workshop, LCPC'95, Columbus, Ohio, USA, August 10-12, 1995, Proceedings Springer 1996
70EEDavid J. Kolson, Alexandru Nicolau, Nikil D. Dutt, Ken Kennedy: A Method for Register Allocation to Loops in Multiple Register File Architectures. IPPS 1996: 28-33
69EEPreeti Ranjan Panda, Nikil D. Dutt, Alexandru Nicolau: Memory Organization for Improved Data Cache Performance in Embedded Processors. ISSS 1996: 90-95
68 Steven Novack, Alexandru Nicolau: Resource-Directed Loop Pipelining. LCPC 1996: 192-206
67EEDavid J. Kolson, Alexandru Nicolau, Nikil D. Dutt, Ken Kennedy: Optimal register assignment to loops for embedded code generation. ACM Trans. Design Autom. Electr. Syst. 1(2): 251-279 (1996)
66 Haigeng Wang, Alexandru Nicolau, Kai-Yeung Siu: The Strict Time Lower Bound and Optimal Schedules for Parallel Prefix with Resource Constraints. IEEE Trans. Computers 45(11): 1257-1271 (1996)
65EEHaigeng Wang, Alexandru Nicolau, Stephen Keung, Kai-Yeung Siu: Computing Programs Containing Band Linear Recurrences on Vector Supercomputers. IEEE Trans. Parallel Distrib. Syst. 7(8): 769-782 (1996)
64EEDavid J. Kolson, Alexandru Nicolau, Nikil D. Dutt: Elimination of redundant memory traffic in high-level synthesis. IEEE Trans. on CAD of Integrated Circuits and Systems 15(11): 1354-1364 (1996)
1995
63 Keshav Pingali, Utpal Banerjee, David Gelernter, Alexandru Nicolau, David A. Padua: Languages and Compilers for Parallel Computing, 7th International Workshop, LCPC'94, Ithaca, NY, USA, August 8-10, 1994, Proceedings Springer 1995
62EEDavid J. Kolson, Alexandru Nicolau, Nikil Dutt, Ken Kennedy: Optimal register assignment to loops for embedded code generation. ISSS 1995: 42-47
61 Steven Novack, Joseph Hummel, Alexandru Nicolau: A Simple Mechanism for Improving the Accuracy and Efficiency of Instruction-Level Disambiguation. LCPC 1995: 289-303
60EEAlexander Aiken, Alexandru Nicolau, Steven Novack: Resource-Constrained Software Pipelining. IEEE Trans. Parallel Distrib. Syst. 6(12): 1248-1270 (1995)
59EEJie Gong, Daniel D. Gajski, Alexandru Nicolau: Performance evaluation for application-specific architectures. IEEE Trans. VLSI Syst. 3(4): 483-490 (1995)
1994
58 Utpal Banerjee, David Gelernter, Alexandru Nicolau, David A. Padua: Languages and Compilers for Parallel Computing, 6th International Workshop, Portland, Oregon, USA, August 12-14, 1993, Proceedings Springer 1994
57 Steven Novack, Alexandru Nicolau, Nikil D. Dutt: A Unified code generation approach using mutation scheduling. Code Generation for Embedded Processors 1994: 203-218
56EEDavid J. Kolson, Alexandru Nicolau, Nikil D. Dutt: Minimization of Memory Traffic in High-Level Synthesis. DAC 1994: 149-154
55EEJie Gong, Daniel D. Gajski, Alex Nicolau: A performance evaluator for parameterized ASIC architectures. EURO-DAC 1994: 66-71
54EEDavid J. Kolson, Alexandru Nicolau, Nikil D. Dutt: Integrating program transformations in the memory-based synthesis of image and video algorithms. ICCAD 1994: 27-30
53 Joseph Hummel, Laurie J. Hendren, Alexandru Nicolau: A Framework for Data Dependence Testing in the Presence of Pointers. ICPP 1994: 216-224
52 Andrea Capitanio, Nikil D. Dutt, Alexandru Nicolau: Partitioning of Variables for Multiple-Register-File VLIW Architectures. ICPP (1) 1994: 298-301
51 Mantipragada Srinivas, Alexandru Nicolau, Vicki H. Allan: An Approach to Combine Predicated/Speculative Execution for Programs with Unpredictable Branches. IFIP PACT 1994: 147-156
50 Andrea Capitanio, Nikil D. Dutt, Alexandru Nicolau: Partitioning of Variables for Multiple-Register-File Architectures via Hypergraph Coloring. IFIP PACT 1994: 319-322
49 Joseph Hummel, Alexandru Nicolau, Laurie J. Hendren: A Language for Conveying the Aliasing Properties of Dynamic, Pointer-Based Data Structures. IPPS 1994: 208-216
48 Haigeng Wang, Alexandru Nicolau, Stephen Keung, Kai-Yeung Siu: Scalable Techniques for Computing Band Linear Recurrences on Massively Parallel and Vector Supercomputers. IPPS 1994: 502-508
47 Steven Novack, Alexandru Nicolau: Mutation Scheduling: A Unified Approach to Compiling for Fine-Grain Parallelism. LCPC 1994: 16-30
46 Joseph Hummel, Laurie J. Hendren, Alexandru Nicolau: A General Data Dependence Test for Dynamic, Pointer-Based Data Structures. PLDI 1994: 218-229
45 David J. Kolson, Nikil D. Dutt, Alexandru Nicolau: Ultra Fine-Grain Template-Driven Synthesis. VLSI Design 1994: 25-28
1993
44 Utpal Banerjee, David Gelernter, Alexandru Nicolau, David A. Padua: Languages and Compilers for Parallel Computing, 5th International Workshop, New Haven, Connecticut, USA, August 3-5, 1992, Proceedings Springer 1993
43EEHaigeng Wang, Nikil D. Dutt, Alexandru Nicolau, Kai-Yeung Siu: High-Level Synthesis of Scalable Architectures for IIR Filters using Multichip Modules. DAC 1993: 336-342
42 Alexandru Nicolau, Steven Novack: Trailblazing: A Hierarchical Approach to Percolation Scheduling. ICPP 1993: 120-124
41 Steven Novack, Alexandru Nicolau: VISTA: The Visual Interface for Scheduling Transformations and Analysis. LCPC 1993: 449-460
40 Haigeng Wang, Nikil D. Dutt, Alexandru Nicolau: Harmonic Scheduling: A Technique for Scheduling Beyond Loop-Carried Dependencies. VLSI Design 1993: 198-201
39EEJiyuan Yang, Lubomir Bic, Alexandru Nicolau: A Mapping Strategy for MIMD Computers. International Journal of High Speed Computing 5(1): 89-123 (1993)
38EEAlexandru Nicolau: Massive Parallelism and Fine-Grain Parallelism: are They Incompatible? International Journal of High Speed Computing 5(2): 271-292 (1993)
1992
37 Utpal Banerjee, David Gelernter, Alexandru Nicolau, David A. Padua: Languages and Compilers for Parallel Computing, Fourth International Workshop, Santa Clara, California, USA, August 7-9, 1991, Proceedings Springer 1992
36 Joseph Hummel, Laurie J. Hendren, Alexandru Nicolau: Applying an Abstract Data Structure Description Approach to Parallelizing Scientific Pointer Programs. ICPP (2) 1992: 100-104
35 Alexandru Nicolau, Steven Novack: An Efficient Global Resource Constrained Technique for Exploiting Instruction Level Parallelism. ICPP (2) 1992: 297-301
34EEHaigeng Wang, Alexandru Nicolau: Speedup of band linear recurrences in the presence of resource constraints. ICS 1992: 466-477
33 Carrie J. Brownhill, Alexandru Nicolau: A Hierarchical Parallelizing Compiler for VLIW/MIMD Machines. LCPC 1992: 49-63
32EEAndrea Capitanio, Nikil D. Dutt, Alexandru Nicolau: Partitioned register files for VLIWs: a preliminary analysis of tradeoffs. MICRO 1992: 292-300
31 Laurie J. Hendren, Joseph Hummel, Alexandru Nicolau: Abstractions for Recursive Pointer Data Structures: Improving the Analysis of Imperative Programs. PLDI 1992: 249-260
30 Joseph Hummel, Laurie J. Hendren, Alexandru Nicolau: Abstract Description of Pointer Data Structures: An Approach for Improving the Analysis and Optimization of Imperative Programs. LOPLAS 1(3): 243-260 (1992)
1991
29EEAlexandru Nicolau, Roni Potasman: Incremental Tree Height Reduction for High Level Synthesis. DAC 1991: 770-774
28 Jiyuan Yang, Lubomir Bic, Alexandru Nicolau: A Mapping Strategy for MIMD Computers. ICPP (1) 1991: 102-109
27 Arthur Abnous, Roni Potasman, Nader Bagherzadeh, Alexandru Nicolau: A Percolation Based VLIW Architecture. ICPP (1) 1991: 144-148
26 Ki-Chang Kim, Alexandru Nicolau: Parallelizing Tightly Nested Loops. IPPS 1991: 630-633
25 Alfred Brenner, Richard F. Freund, R. Stockton Gaines, Rob Kelly, Louis Lome, Richard McAndrew, Alexandru Nicolau, Janak H. Patel, Thomas Probert, John H. Reif, Jorge L. C. Sanz, Howard Jay Siegel, Jon A. Webb: How Do We Make Parallel Processing a Reality? Bridging the Gap Between Theory and Practice. IPPS 1991: 648-653
24 Alexandru Nicolau, Roni Potasman, Haigeng Wang: Register Allocation, Renaming and Their Impact on Fine-Grain Parallelism. LCPC 1991: 218-235
23EEHaigeng Wang, Alexandru Nicolau, Roni Potasman: A New Technique for Induction Variable Removal. MICRO 1991: 172-180
22 Alexandru Nicolau, Haigeng Wang: Optimal Schedules for Parallel Prefix Computation with Bounded Resources. PPOPP 1991: 1-10
1990
21EERoni Potasman, Joseph Lis, Alexandru Nicolau, Daniel Gajski: Percolation Based Synthesis. DAC 1990: 444-449
20 Ki-Chang Kim, Alexandru Nicolau: Parallelizing Non-Vectorizable Loops for MIMD Machines. ICPP (2) 1990: 114-118
19EEAlexandru Nicolau, Roni Potasman: Realistic scheduling: compaction for pipelined architectures. MICRO 1990: 69-79
18EELaurie J. Hendren, Alexandru Nicolau: Parallelizing Programs with Recursive Data Structures. IEEE Trans. Parallel Distrib. Syst. 1(1): 35-47 (1990)
17 Micah Beck, Keshav Pingali, Alexandru Nicolau: Static Scheduling for Dynamic Dataflow Machines. J. Parallel Distrib. Comput. 10(4): 279-288 (1990)
1989
16 Laurie J. Hendren, Alexandru Nicolau: Parallelizing Programs with Recursive Data Structures. ICPP (2) 1989: 49-56
15EEKemal Ebcioglu, Alexandru Nicolau: A global resource-constrained parallelization technique. ICS 1989: 154-163
14EELaurie J. Hendren, Alexandru Nicolau: Intererence analysis tools for parallelizing programs with recursive data structures. ICS 1989: 205-214
13 Alexandru Nicolau: Run-Time Disambiguation: Coping with Statically Unpredictable Dependencies. IEEE Trans. Computers 38(5): 663-678 (1989)
12 Gianfranco Bilardi, Alexandru Nicolau: Adaptive Bitonic Sorting: An Optimal Parallel Algorithm for Shared-Memory Machines. SIAM J. Comput. 18(2): 216-228 (1989)
1988
11 Alexander Aiken, Alexandru Nicolau: Perfect Pipelining: A New Loop Parallelization Technique. ESOP 1988: 221-235
10 Alexander Aiken, Alexandru Nicolau: Optimal Loop Parallelization. PLDI 1988: 308-317
9EEAlexander Aiken, Alexandru Nicolau: A Development Environment for Horizontal Microcode. IEEE Trans. Software Eng. 14(5): 584-594 (1988)
8 Alexandru Nicolau: Loop Quantization: A Generalized Loop Unwinding Technique. J. Parallel Distrib. Comput. 5(5): 568-586 (1988)
1987
7 Alexandru Nicolau: Loop Quantization or Unwinding Done Right. ICS 1987: 294-308
1986
6 Kevin Karplus, Alexandru Nicolau: Getting High Performance with Slow Memory. COMPCON 1986: 248-253
1985
5 Alexandru Nicolau: Uniform Parallelism Exploitation in Ordinary Programs. ICPP 1985: 614-618
1984
4EEJoseph A. Fisher, John R. Ellis, John C. Ruttenberg, Alexandru Nicolau: Parallel processing: a smart compiler and a dumb machine (with retrospective) Best of PLDI 1984: 112-124
3EEJoseph A. Fisher, John R. Ellis, John C. Ruttenberg, Alexandru Nicolau: Parallel processing: a smart compiler and a dumb machine. SIGPLAN Symposium on Compiler Construction 1984: 37-47
2 Alexandru Nicolau, Joseph A. Fisher: Measuring the Parallelism Available for Very Long Instruction Word Architectures. IEEE Trans. Computers 33(11): 968-976 (1984)
1983
1EEJacques Cohen, Alexandru Nicolau: Comparison of Compacting Algorithms for Garbage Collection. ACM Trans. Program. Lang. Syst. 5(4): 532-553 (1983)

Coauthor Index

1Arthur Abnous [27]
2Alexander Aiken (Alex Aiken) [9] [10] [11] [60]
3Vicki H. Allan [51]
4Ana Azevedo [72] [92] [99] [127] [157]
5Carmen Badea [186] [190]
6Nader Bagherzadeh [27]
7Utpal Banerjee [37] [44] [58] [63] [71] [83] [151] [166] [169] [170] [182] [189] [194]
8Nikhil Bansal [145] [146]
9Micah Beck [17]
10Lubomir F. Bic (Lubomir Bic) [28] [39]
11Gianfranco Bilardi [12] [75] [117]
12Partha Biswas [121] [125] [165]
13Alfred Brenner [25]
14Erik Brockmeyer [109]
15Carrie J. Brownhill [33] [77] [79]
16Andrea Capitanio [32] [50] [52]
17Steven Carroll [91] [97]
18Francky Catthoor [109]
19Ashok Chandrashekar [183]
20Jacques Cohen [1]
21Radu Cornea [107] [127] [135] [139] [154] [168] [173] [174] [184]
22Paolo D'Alberto [117] [133] [143] [144] [150] [179] [185] [192]
23Darshan Desai [181] [195]
24Nikil D. Dutt (Nikil Dutt) [32] [40] [43] [45] [50] [52] [54] [56] [57] [62] [64] [67] [69] [70] [74] [76] [78] [80] [81] [82] [85] [86] [88] [89] [90] [94] [95] [96] [100] [102] [105] [106] [107] [108] [109] [110] [111] [112] [113] [115] [116] [118] [119] [120] [121] [123] [125] [126] [127] [128] [130] [131] [132] [135] [136] [138] [139] [140] [141] [145] [146] [147] [148] [149] [154] [155] [156] [158] [159] [161] [163] [164] [165] [167] [168] [173] [174] [175] [177] [178] [183] [184] [188]
25Eugene Earlie [149] [158] [159] [163] [167] [175] [177] [188]
26Kemal Ebcioglu [15]
27John R. Ellis [3] [4]
28Andrew Felch [183]
29Joseph A. Fisher [2] [3] [4]
30Bertil Folliot [129]
31Richard F. Freund [25]
32Jeff Furlong [183]
33R. Stockton Gaines [25]
34Daniel Gajski (Daniel D. Gajski) [21] [55] [59]
35Vijay Ganesh [96]
36David Gelernter [37] [44] [58] [63] [71] [83]
37Mohammad Ali Ghodrat [160] [162] [187] [191]
38Milind Girkar [166] [169] [170] [172] [176] [182] [193]
39Tony Givargis [160] [162] [187] [191]
40Jie Gong [55] [59]
41Richard Granger (Richard H. Granger) [183]
42Eddy de Greef [109]
43Dan Grigoras [129]
44Peter Grun [94] [95] [96] [102] [105] [107] [108] [110] [112] [115] [119] [123] [130] [131]
45Rajesh K. Gupta (Rajesh Gupta) [93] [101] [103] [113] [114] [116] [120] [122] [124] [127] [128] [132] [135] [136] [138] [140] [141] [145] [146] [147] [148] [150] [154] [156] [164]
46Sumit Gupta [113] [116] [120] [128] [132] [136] [138] [140] [141] [145] [146] [147] [148] [156] [164]
47Ashok Halambi [94] [95] [96] [106] [107] [118] [119] [121] [125] [130] [165]
48Laurie J. Hendren [14] [16] [18] [30] [31] [36] [46] [49] [53]
49Gerolf Hoflehner [181] [195]
50Chua-Huang Huang [71]
51Joseph Hummel [30] [31] [36] [46] [49] [53] [61] [72] [75] [92] [99]
52Ilya Issenin [127]
53Xiaomei Ji [93] [101] [103]
54Timothy Kam [128]
55Kevin Karplus [6]
56Arun Kejariwal [144] [148] [151] [152] [153] [156] [157] [164] [166] [169] [170] [171] [172] [176] [180] [181] [182] [189] [193] [194] [195] [196]
57Rob Kelly [25]
58Ken Kennedy [62] [67] [70]
59Stephen Keung [48] [65]
60Asheesh Khare [95] [96]
61Ki-Chang Kim [20] [26]
62Minyoung Kim [154] [155] [161]
63Sunwoo Kim [116]
64Michael Kishinevsky [128]
65David J. Kolson [45] [54] [56] [62] [64] [67] [70] [72] [86]
66Sergey Kozhukhov [170] [182]
67Ingolf Krüger (Ingolf H. Krüger) [135]
68Chidamber Kulkarni [109]
69Daniel M. Lavery [181] [195]
70Kyoungwoo Lee [154]
71Guangqiang Li [196]
72Wei Li [169] [170] [182]
73Joseph Lis [21]
74Louis Lome [25]
75Manev Luthra [136]
76Srinivas Mantripragada [104]
77Richard McAndrew [25]
78Cameron McNairy [195]
79Prabhat Mishra [110] [111] [119] [126]
80Shivajit Mohapatra [139] [154] [178]
81Jayram Moorkanikara Nageswaran [183]
82Hiroshi Nakamura [78] [80] [90]
83Dan Nicolaescu [101] [103] [134] [137] [142]
84Steven Novack [35] [41] [42] [47] [57] [60] [61] [68] [73] [77] [79]
85Hyunok Oh [154] [155] [161]
86David A. Padua [37] [44] [58] [63] [71] [83]
87Yunheung Paek [167] [175] [177] [188]
88Preeti Ranjan Panda [69] [74] [76] [78] [80] [81] [82] [85] [88] [89] [90] [100] [109]
89Sanghyun Park [167] [175] [177] [188]
90Janak H. Patel [25]
91Keshav Pingali [17] [63]
92Constantine D. Polychronopoulos [77] [79] [91] [97] [98] [144] [151] [152] [166] [169] [170] [171] [172] [182] [189] [194]
93Roni Potasman [19] [21] [23] [24] [27] [29]
94Thomas Probert [25]
95John H. Reif [25]
96Mehrdad Reshadi [120]
97Shai Rotem [128]
98John C. Ruttenberg [3] [4]
99P. Sadayappan [71]
100Hideki Saito [91] [97] [98] [166] [169] [170] [172] [176] [193]
101Jorge L. C. Sanz [25]
102Nicolae Savoiu (Nick Savoiu) [95] [113] [116] [120] [128] [140]
103Douglas C. Schmidt [135]
104David C. Sehr [83]
105Aviral Shrivastava [121] [125] [149] [158] [159] [163] [165] [167] [175] [177] [188]
106Sandeep K. Shukla [135] [154]
107Howard Jay Siegel [25]
108Kai-Yeung Siu [43] [48] [65] [66]
109M. Srinivas [87]
110Mantipragada Srinivas [51]
111Nicholas Stavrakos [91] [97] [98]
112Weiyu Tang [93] [114] [122] [124] [180]
113Xinmin Tian [166] [169] [170] [172] [176] [182] [193]
114Hiroyuki Tomiyama [118] [119] [126]
115Bernard Toursel [129]
116Arnout Vandecappelle [109]
117Alexander V. Veidenbaum [93] [101] [103] [122] [127] [133] [134] [137] [142] [150] [157] [170] [172] [176] [180] [181] [182] [183] [186] [189] [190] [193] [194] [195]
118Nalini Venkatasubramanian [139] [154] [155] [161] [178]
119Haigeng Wang [22] [23] [24] [34] [40] [43] [48] [65] [66]
120Jon A. Webb [25]
121Jiyuan Yang [28] [39]

Colors in the list of coauthors

Copyright © Sun May 17 03:24:02 2009 by Michael Ley (ley@uni-trier.de)