ISCAS 2004:
Vancouver,
BC,
Canada - Volume 5
- Ryo Mukai, Hiroshi Sawada, Shoko Araki, Shoji Makino:
Frequency domain blind source separation using small and large spacing sensor pairs.
1-4 BibTeX
- Milutin Stanacevic, Gert Cauwenberghs, Laurence Riddle:
Gradient flow bearing estimation with blind identification of multiple signals and interference.
5-8 BibTeX
- Daniele Vigliano, Aurelio Uncini, Raffaele Parisi:
Nonlinear ICA solutions for convolutive mixing of PNL mixtures.
9-12 BibTeX
- Masashi Ohata, Toshiharu Mukai, Kiyotoshi Matsuoka:
Blind separation with Gaussian mixture model for convolutively mixed sources.
13-16 BibTeX
- Ziauddin M. Kamran, Thiagalingam Kirubarajan, Alex B. Gershman:
Blind estimation and equalization of time-varying channels using the interacting multiple model estimator.
17-20 BibTeX
- Yajun Kou, Wu-Sheng Lu, Andreas Antoniou:
New algorithm for blind adaptive equalization based on constant modulus criterion.
21-24 BibTeX
- Derong Liu, Sanqing Hu:
Sequential blind extraction of mixed source signals with guaranteed convergence.
25-28 BibTeX
- Ke Deng, Qinye Yin, Ming Luo, Zheng Zhao:
A vertical layered space-time code and its blind symbol detection.
29-32 BibTeX
- Khurram Waheed, Fathi M. Salem:
Blind source recovery for non-minimum phase surroundings.
33-36 BibTeX
- Pando G. Georgiev, Andrzej Cichocki:
Sparse component analysis of overcomplete mixtures by improved basis pursuit method.
37-40 BibTeX
- Yihai Zhang, T. Aaron Gulliver:
A dual mode decision feedback equalizer employing the conjugate gradient algorithm.
41-44 BibTeX
- Yanxing Zeng, Qinye Yin, Le Ding, Ke Deng:
Blind uplink space-time channel estimation for space-time coded multicarrier code division multiple access systems.
45-48 BibTeX
- Weizhou Su, Wei Xing Zheng:
A polynomial method for blind identification of MIMO channels.
49-52 BibTeX
- Junaid A. Khan, Sadiq M. Sait:
Fast force-directed/simulated evolution hybrid for multiobjective VLSI cell placement.
53-56 BibTeX
- Mongkol Ekpanyapong, Karthik Balakrishnan, Vidit Nanda, Sung Kyu Lim:
Simultaneous delay and power optimization in global placement.
57-60 BibTeX
- Sheqin Dong, Zhong Yang, Xianlong Hong, Yuliang Wu:
Module placement based on quadratic programming and rectangle packing using less flexibility first principle.
61-64 BibTeX
- Ling Zhang, Tong Jing, Xianlong Hong, Jingyu Xu, Jinjun Xiong, Lei He:
Performance and RLC crosstalk driven global routing.
65-68 BibTeX
- Pun Hang Shiu, Ramprasad Ravichandran, Siddharth Easwar, Sung Kyu Lim:
Multi-layer floorplanning for reliable system-on-package.
69-72 BibTeX
- Bo-Kyung Choi, Charles Chiang, Jamil Kawa, Majid Sarrafzadeh:
Routing resources consumption on M-arch and X-arch.
73-76 BibTeX
- Lihong Zhang, Rabin Raut, Yingtao Jiang:
A placement algorithm for implementation of analog LSI/VLSI systems.
77-80 BibTeX
- Changqi Yang, Xianlong Hong, Hannah Honghua Yang, Qiang Zhou, Yici Cai, Yongqiang Lu:
Recursively combine floorplan and Q-place in mixed mode placement based on circuit's variety of block configuration.
81-84 BibTeX
- Bin Liu, Yici Cai, Qiang Zhou, Xianlong Hong:
Layer assignment algorithm for RLC crosstalk minimization.
85-88 BibTeX
- Hailong Yao, Qiang Zhou, Xianlong Hong, Yici Cai:
Crosstalk driven routing resource assignment.
89-92 BibTeX
- Jinho Park, David J. Allstot:
RF circuit synthesis using particle swarm optimization.
93-96 BibTeX
- Jesús Ruiz-Amaya, José Manuel de la Rosa Utrera, Fernando Manuel Medeiro Hidalgo, Francisco V. Fernández, Rocio del Río, Maria Belen Pérez-Verdú, Ángel Rodríguez-Vázquez:
An optimization-based tool for the high-level synthesis of discrete-time and continuous-time /spl Sigma//spl Delta/ modulators in the Matlab/Simulink environment.
97-100 BibTeX
- Lihong Zhang, Ulrich Kleine:
A novel analog layout synthesis tool.
101-104 BibTeX
- Junjie Yang, Sheldon X.-D. Tan:
Behavioural modelling of analog circuits by dynamic semi-symbolic analysis.
105-108 BibTeX
- Matt Francis, Vivek Chaudhary, H. Alan Mantooth:
Compact semiconductor device modelling using higher level methods.
109-112 BibTeX
- Hamilton Klimach, Alfredo Arnaud, Márcio C. Schneider, Carlos Galup-Montoro:
Consistent model for drain current mismatch in MOSFETs using the carrier number fluctuation theory.
113-116 BibTeX
- Alessandro Savio, Luigi Colalongo, Zsolt Miklós Kovács-Vajna, Michele Quarantelli:
Scaling rules and parameter tuning procedure for analog design reuse in technology migration.
117-120 BibTeX
- Natalie Nakhla, Anestis Dounavis, Ramachandra Achar, Michel S. Nakhla:
Fast sensitivity analysis of transmission line networks.
121-124 BibTeX
- Hui Zhang, Alex Doboli:
Fast time-domain symbolic simulation for synthesis of sigma-delta analog-digital converters.
125-128 BibTeX
- Junjie Yang, Sheldon X.-D. Tan:
An efficient algorithm for transient and distortion analysis of mildly nonlinear analog circuits.
129-132 BibTeX
- Bogdan J. Falkowski, Cicilia C. Lozano, Susanto Rahardja:
Generation of disjoint cubes for multiple-valued functions.
133-136 BibTeX
- Chi-Wei Hu, TingTing Hwang:
Output-pattern directed decomposition for low power design.
137-140 BibTeX
- Hongtu Jiang, Viktor Öwall:
FPGA implementation of controller-datapath pair in custom image processor design.
141-144 BibTeX
- Robert Yi-Ching Au, Chi-Ying Tsui:
Least leakage vector assisted technology mapping for total power optimization.
145-148 BibTeX
- Ajit Sharma, Chenggang Xu, Wen Kung Chu, Nishath K. Verghese, Terri S. Fiez, Kartikeya Mayaram:
A predictive methodology for accurate substrate parasitic extraction.
149-152 BibTeX
- Ken-ichi Okada, Hiroaki Hoshino, Hidetoshi Onodera:
Modelling and optimization of on-chip spiral inductor in S-parameter domain.
153-156 BibTeX
- Robert Shreeve, Terri S. Fiez, Kartikeya Mayaram:
A physical and analytical model for substrate noise coupling analysis.
157-160 BibTeX
- Chenggang Xu, Terri S. Fiez, Kartikeya Mayaram:
An improved Z-parameter macro model for substrate noise coupling.
161-164 BibTeX
- Zhao Li, C.-J. Richard Shi:
A coupled iterative/direct method for efficient time-domain simulation of nonlinear circuits with power/ground networks.
165-168 BibTeX
- João M. S. Silva, Luis Miguel Silveira:
Multigrid-based substrate coupling model extraction.
169-173 BibTeX
- Weikun Guo, Sheldon X.-D. Tan, Zuying Luo, Xianlong Hong:
Partial random walk for large linear network analysis.
173-177 BibTeX
- Janet Meiling Wang, Omar Hafiz:
Matrix pencil based realizable reduction for distributed interconnects.
177-180 BibTeX
- Nisar Ahmed, Mohammad H. Tehranipour, Dian Zhou, Mehrdad Nourani:
Frequency driven repeater insertion for deep submicron.
181-184 BibTeX
- Ilhan Hatirnaz, Yusuf Leblebici:
Modelling and implementation of twisted differential on-chip interconnects for crosstalk noise reduction.
185-188 BibTeX
- Alexandre Verle, Xavier Michel, Philippe Maurine, Nadine Azémard, Daniel Auvergne:
Delay bound based CMOS gate sizing technique.
189-192 BibTeX
- Jorge Aguila-Meza, Leticia Torres-Papaqui, Esteban Tlelo-Cuautle:
Improving symbolic analysis in CMOS analog integrated circuits.
193-196 BibTeX
- Naofumi Homma, Jun Sakiyama, Taihei Wakamatsu, Takafumi Aoki, Tatsuo Higuchi:
A systematic approach for analyzing fast addition algorithms using counter tree diagrams.
197-200 BibTeX
- Fei Xu, Chip-Hong Chang, Ching-Chuen Jong:
HWP: a new insight into canonical signed digit.
201-204 BibTeX
- Ching-Chung Hu, De-Sheng Chen, Yi-Wen Wang:
Fast multilevel floorplanning for large scale modules.
205-208 BibTeX
- Pushkin R. Pari, Lin Yuan, Gang Qu:
How many solutions does a SAT instance have?
209-212 BibTeX
- Miroslav N. Velev:
A new generation of ISCAS benchmarks from formal verification of high-level microprocessors.
213-216 BibTeX
- Rouying Zhan, Haigang Feng, Haolu Xie, Albert Z. Wang:
ESDInspector: a new layout-level ESD protection circuitry design verification tool using a smart-parametric checking mechanism.
217-220 BibTeX
- Andreas G. Veneris, Robert Chang, Magdy S. Abadir, Mandana Amiri:
Fault equivalence and diagnostic test generation using ATPG.
221-224 BibTeX
- Chuen-Yau Chen, An-Chi Hsu:
A hybrid-type test pattern generating mechanism.
225-228 BibTeX
- Thomas Eschbach, Rolf Dreschler, Bernd Becker:
Placement and routing optimization for circuits derived from BDDs.
229-232 BibTeX
- Haibo Long, Zhenghe Feng, Haigang Feng, Albert Z. Wang, Tianling Ren:
L-simulator: a magPEEC-based new CAD tool for simulating magnetic-enhanced IC inductors of 3D arbitrary geometry.
233-237 BibTeX
- Yi-Wei Lin, Jing-Yang Jou:
An efficient approach for hierarchical submodule extraction.
237-240 BibTeX
- Yang Wang, Yici Cai, Xianlong Hong, Qiang Zhou:
Algorithm for yield driven correction of layout.
241-245 BibTeX
- Carlos Sánchez-López, Esteban Tlelo-Cuautle:
Symbolic noise analysis in analog integrated circuits.
245-248 BibTeX
- Lily Huang, Tai-Ying Jiang, Jing-Yang Jou, Heng-Liang Huang:
An efficient logic extraction algorithm using partitioning and circuit encoding.
249-252 BibTeX
- Giorgio Casinovi, Giuseppe M. Veca:
Frequency-domain error analysis of linear multistep methods.
253-256 BibTeX
- Shekhar Kopuri, Nazanin Mansouri:
Enhancing scheduling solutions through ant colony optimization.
257-260 BibTeX
- Ling Wang, Yingtao Jiang, Henry Selvaraj:
Synthesis scheme for low power designs with multiple supply voltages by tabu search.
261-264 BibTeX
- Sean E. Krakiwsky, Laurence E. Turner, Michal M. Okoniewski:
Graphics processor unit (GPU) acceleration of finite-difference time-domain (FDTD) algorithm.
265-268 BibTeX
- Lakshmi Kalpana Vakati, Janet Meiling Wang:
A new multi-ramp driver model with RLC interconnect load.
269-272 BibTeX
- Gülin Tulunay, Sina Balkir:
A compact optimization methodology for single-ended LNA.
273-276 BibTeX
- Rodrigo L. Oliveira Pinto, Franco Maloberti:
X ray and blue print: tools for MOSFET analog circuit design addressing short-channel effects.
277-280 BibTeX
- Hessa Al-Junaid, Tom J. Kazmierski:
SEAMS - a SystemC environment with analog and mixed-signal extensions.
281-284 BibTeX
- Bogdan J. Falkowski, Cheng Fu:
Properties of fastest linearly independent transforms over GF(3).
285-289 BibTeX
- Cheng Fu, Bogdan J. Falkowski:
Multi-polarity helix transform over GF(3).
289-292 BibTeX
- Kai-Yuan Jheng, Shyh-Jye Jou, An-Yeu Wu:
A design flow for multiplierless linear-phase FIR filters: from system specification to Verilog code.
293-296 BibTeX
- Xin Zhao, Yici Cai, Qiang Zhou, Xianlong Hong, Lei He, Jinjun Xiong:
Shielding area optimization under the solution of interconnect crosstalk.
297-300 BibTeX
- Rajani Parthasarthy, Ivan S. Kourtev:
Performance metrics for asynchronous digital circuits applicable to computer-aided design.
301-304 BibTeX
- Yoichi Yuyama, Masao Aramoto, Kazutoshi Kobayashi, Hidetoshi Onodera:
RTL/ISS co-modeling methodology for embedded processor using SystemC.
305-308 BibTeX
- Ralph Marczynski, Mitchell A. Thornton, Stephen A. Szygenda:
Test vector generation and classification using FSM traversals.
309-312 BibTeX
- Jounaïdi Ben Hassen, Sofiène Tahar:
Formal verification of an SoC platform protocol converter.
313-316 BibTeX
- Mohamed N. Wageeh, Ayman M. Wahba, Ashraf M. Salem, Mohamed A. Sheirah:
FPGA based accelerator for functional simulation.
317-320 BibTeX
- Jian Wang, Xuan Zeng, Wei Cai, Charles Chiang, Jiarong Tong, Dian Zhou:
Frequency domain wavelet method with GMRES for large-scale linear circuit simulation.
321-324 BibTeX
- Ali Abbasian, Safar Hatami, Ali Afzali-Kusha, Mehrdad Nourani, Caro Lucas:
Event-driven dynamic power management based on wavelet forecasting theory.
325-328 BibTeX
- Chikaaki Kodama, Kunihiro Fujiyoshi, Teppei Koga:
A novel encoding method into sequence-pair.
329-332 BibTeX
- Praveen Pai, Emad Gad, Ramachandra Achar, Roni Khazaka, Michel S. Nakhla:
Computing large-change sensitivity of periodic responses of nonlinear circuits using reduction techniques.
333-336 BibTeX
- Meng Zhao, Xinjie Wei, Yici Cai, Xianlong Hong:
Quick and effective buffered legitimate skew clock routing.
337-340 BibTeX
- Xuliang Zhang, Yoji Kajitani:
Theory of T-junction floorplans in terms of single-sequence.
341-344 BibTeX
- Tao Wan, Malgorzata Chrzanowska-Jeske:
Generating random benchmark circuits for floorplanning.
345-348 BibTeX
- Francesco Tenore, Ralph Etienne-Cummings, M. Anthony Lewis:
A programmable array of silicon neurons for the control of legged locomotion.
349-352 BibTeX
- Dazhi Wei, John G. Harris:
Signal reconstruction from spiking neuron models.
353-356 BibTeX
- Elisabetta Chicca, Giacomo Indiveri, Rodney J. Douglas:
An event-based VLSI network of integrate-and-fire neurons.
357-60 BibTeX
- Jens Petter Abrahamsen, Philipp Häfliger, Tor Sverre Lande:
A time domain winner-take-all network of integrate-and-fire neurons.
361-364 BibTeX
- Anuj Batra, Jaiganesh Balakrishnan, Anand Dabak:
Multi-band OFDM: a new approach for UWB.
365-368 BibTeX
- Ebrahim Saberinia, Jun Tang, Ahmed H. Tewfik, Keshab K. Parhi:
Pulsed OFDM modulation for ultra wideband communications.
369-392 BibTeX
- Seung Young Park, Gadi Shor, Yong Suk Kim:
Interference resilient transmission scheme for multiband OFDM system in UWB channels.
373-376 BibTeX
- Jun Tang, Ahmed H. Tewfik, Keshab K. Parhi:
High performance solution for interfering UWB piconets with reduced complexity sphere decoding.
377-380 BibTeX
- Irena Maravic, Martin Vetterli, Kannan Ramchandran:
Channel estimation and synchronization with sub-Nyquist sampling and application to ultra-wideband systems.
381-384 BibTeX
- R. Jacob Vogelstein, Udayan Mallik, Gert Cauwenberghs:
Silicon spike-based synaptic array and address-event transceiver.
385-388 BibTeX
- Ausra Saudargiene, Bernd Porr, Florentin Wörgötter:
Biologically inspired artificial neural network algorithm which implements local learning rules.
389-392 BibTeX
- Håvard Kolle Riis, Philipp Häfliger:
Spike based learning with weak multi-level static memory.
393-396 BibTeX
- Shih-Chii Liu, Rodney J. Douglas:
Spike synchronization in a network of silicon integrate-and-fire neurons.
397-400 BibTeX
- Natasha Chia, Steve Collins:
A spike-based analogue circuit that emphasises in auditory stimuli.
401-404 BibTeX
- Palghat P. Vaidyanathan, Bojan Vrcelj:
Transmultiplexers as precoders in modern digital communication: a tutorial review.
405-412 BibTeX
- Cássio B. Ribeiro, Marcello L. R. de Campos, Paulo S. R. Diniz:
Zero-forcing equalization for time-varying systems with memory.
413-416 BibTeX
- Wei Zhang, Xiang-Gen Xia, Pak-Chung Ching:
On pilot pattern design for PSAM-OFDM system.
417-420 BibTeX
- Soura Dasgupta, Ashish Pandharipande:
Complete characterization of channel independent general DMT systems with cyclic prefix.
421-424 BibTeX
- See-May Phoong, Kai-Yen Chang, Yuan-Pei Lin:
Antipodal paraunitary precoding for OFDM application.
425-428 BibTeX
- Kaoru Arakawa:
Nonlinear digital filters for beautifying facial images in multimedia systems.
429-432 BibTeX
- Tsung-Han Tsai, Yu-Xuan Lee, Yu Fong Lin:
Video error concealment techniques using progressive interpolation and boundary matching algorithm.
433-436 BibTeX
- Kazuhiro Shimauchi, Masahiro Ogawa, Akira Taguchi:
JPEG based image compression with adaptive resolution conversion system.
437-440 BibTeX
- C. S. Tan, D. M. Tan, H. R. Wu:
Perceptual coding of digital colour images based on a vision model.
441-444 BibTeX
- Naoto Sasaoka, Yoshio Itoh, Kensaku Fujii, Yutaka Fukui:
Smart noise reduction system based on ALE and noise reconstruction system.
445-448 BibTeX
- Piotr Dudek:
A 39/spl times/48 general-purpose focal-plane processor array integrated circuit.
448-452 BibTeX
- Sebastien Moutault, Hervé Mathias, Jacques-Olivier Klein, Antoine Dupret:
An improved analog computation cell for Paris II, a programmable vision chip.
453-456 BibTeX
- Ricardo Carmona, Carlos M. Domínguez-Matas, Jorge Cuadri, Francisco Jiménez-Garrido, Ángel Rodríguez-Vázquez:
A CNN-driven locally adaptive CMOS image sensor.
457-460 BibTeX
- Asko Kananen, Mika Laiho, Kari Halonen, Ari Paasio:
N /spl times/ 16 cellular test chips for low-pass filtering large images.
461-464 BibTeX
- Victor M. Brea, David López Vilariño, Diego Cabello:
A mixed-signal CMOS DTCNN chip for pixel-level snakes.
465-468 BibTeX
- Inas Khalifa, Ljiljana Trajkovic:
An overview and comparison of analytical TCP models.
469-472 BibTeX
- Gianluca Mazzini, Riccardo Rovatti, Gianluca Setti:
Self-similarity in max/average aggregated processes.
473-476 BibTeX
- David K. Arrowsmith, Matthew Woolf:
Modelling of TCP packet traffic in a large interactive growth network.
477-480 BibTeX
- Hung Xuan Nguyen, Patrick Thiran, Chadi Barakat:
On the correlation of TCP traffic in backbone networks.
481-484 BibTeX
- Sabato Manfredi, Franco Garofalo, Mario di Bernardo:
A robust approach to active queue management control in networks.
485-488 BibTeX
- Marco Gilli, Fernando Corinto:
On dynamic behavior of weakly connected cellular neural networks.
489-492 BibTeX
- Timothy G. Constandinou, Julius Georgiou, Chris Toumazou:
Towards a bio-inspired mixed-signal retinal processor.
493-496 BibTeX
- Daryl R. Kipke:
Brain-machine interfaces using thin-film silicon microelectrode arrays.
497-499 BibTeX
- Paolo Arena, Luigi Fortuna, Adriano Basile, Mattia Frasca:
CNN wave based computation for robot navigation planning.
500-503 BibTeX
- Christian Merkwirth, Jochen Bröcker, Maciej Ogorzalek, Jörg D. Wichard:
Finite iteration DT-CNN - new design and operating principles.
504-507 BibTeX
- Ethan Crain, Michael H. Perrott:
A numerical design approach for high speed, differential, resistor-loaded, CMOS amplifiers.
508-511 BibTeX
- Makram M. Mansour, Amit Mehrotra, William W. Walker, Amit Narayan:
Analysis techniques for obtaining the steady-state solution of MOS LC oscillators.
512-515 BibTeX
- Zhe Wang, Rajeev Murgai, Jaijeet S. Roychowdhury:
Macromodeling of digital libraries for substrate noise analysis.
516-519 BibTeX
- Suihua Lu, Amit Narayan, Amit Mehrotra:
Continuation method in multitone harmonic balance.
520-523 BibTeX
- Chinh H. Doan, Sohrab Emami, Ali M. Niknejad, Robert W. Brodersen:
Millimeter-wave CMOS device modeling and simulation.
524-527 BibTeX
- Wu-Sheng Lu, Takao Hinamoto:
Improved design of frequency-response-masking filters using enhanced sequential quadratic programming.
528-531 BibTeX
- Ya Jun Yu, Yong Ching Lim, Kok Lay Teo, Guohui Zhao:
Frequency-response-masking technique incorporating extrapolated impulse response band-edge shaping filter.
532-535 BibTeX
- Jianghong Yu, Yong Lian:
Frequency-response masking based filters with the even-length bandedge shaping filter.
536-539 BibTeX
- Juha Yli-Kaakinen, Tapio Saramäki, Ya Jun Yu:
An efficient algorithm for the optimization of FIR filters synthesized using the multistage frequency-response masking approach.
540-543 BibTeX
- Danilo B. Graziosi, Cristiano Nogueira dos Santos, Sergio L. Netto, Luiz W. P. Biscainho:
A constant-Q spectral transformation with improved frequency response.
544-547 BibTeX
- Tingting Song, Nianci Huang, Adrian Ioinovici:
A zero-voltage and zero-current switching three-level DC-DC converter with secondary-assisted regenerative passive snubber.
548-551 BibTeX
- Gerard Villar, Eduard Alarcón, Herminio Martínez, Eva Vidal, Francesc Guinjoan, Sonia Porta, Alberto Poveda:
Hysteric controller for CMOS on-chip switching power converters.
552-555 BibTeX
- Kelvin Ka Sing Leung, Henry Shu-Hung Chung:
State trajectory prediction control for boost converters.
556-559 BibTeX
- Tadashi Suetsugu, Marian K. Kazimierczuk:
Design equations for sub-optimum operation of class-E amplifier with nonlinear shunt capacitance.
560-563 BibTeX
- Bruno Estibals, Corinne Alonso, Alain Salles, Angel Cid-Pastor, Henri Camon, Luis Martinez-Salamero:
Toward the integration of microsystems supply.
564-567 BibTeX
- Dahua Xie, C. C. Jay Kuo:
Enhanced multiple Huffman table (MHT) encryption scheme using key hopping.
568-571 BibTeX
- Wei-Qi Yan, Duo Jin, Mohan S. Kankanhalli:
Visual cryptography for print and scan applications.
572-575 BibTeX
- Rajarathnam Chandramouli:
Web search steganalysis: some challenges and approaches.
576-579 BibTeX
- Jeng-Shyang Pan, Min-Tsang Sung, Hsiang-Cheh Huang, Bin-Yih Liao:
Robust VQ-based digital watermarking for memoryless binary symmetric channel.
580-583 BibTeX
- Yongjian Hu, Sam Kwong, Jiwu Huang:
Using invisible watermarks to protect visibly watermarked images.
584-587 BibTeX
- Alyssa B. Apsel, Zhongtao Fu:
A 2.5 milliwatt SOS CMOS receiver for optical interconnect.
588-591 BibTeX
- Donald M. Chiarulli, Steven P. Levitan, Jason D. Bakos, Charlie Kuznia:
Active substrates for optoelectronic interconnect.
592-595 BibTeX
- Amit Lal, Hui Li, Hang Guo:
Integrated radioactive thin films for sensing systems.
596-599 BibTeX
- Alexander Belenky, Alexander Fish, Shy Hamami, Vadim Milrud, Orly Yadid-Pecht:
Widening the dynamic range of the readout integration circuit for uncooled microbolometer infrared sensors.
600-603 BibTeX
- Eugenio Culurciello, Andreas G. Andreou:
A 16 /spl times/ 16 pixel silicon on sapphire CMOS photosensor array with a digital interface for adaptive wavefront correction.
604-607 BibTeX
- Tõnu Trump:
Compensation for clock skew in voice over packet networks by speech interpolation.
608-611 BibTeX
- Arthur L. Swanson, Ravi P. Ramachandran, Steven H. Chin:
Fast adaptive component weighted cepstrum pole filtering for speaker identification.
612-615 BibTeX
- Marc A. Boillot, John G. Harris:
A loudness enhancement technique for speech.
616-618 BibTeX
- Robert E. Yantorno, Brett Y. Smolenski, Ananth N. Iyer, Jashmin K. Shah:
Usable speech detection using a context dependent Gaussian mixture model classifier.
619-623 BibTeX
- David B. Bjornberg, Sedig Agili, Aldo Morales:
Decomposition and recognition of a multi-channel audio source using matching pursuit algorithm.
624-627 BibTeX
- Gabriel Popescu, Leonid B. Goldgeisser:
Mixed signal aspects of behavioral modeling and simulation.
628-631 BibTeX
- Mark Zwolinski, Andrew D. Brown:
Behavioural modelling of analogue faults in VHDL-AMS - a case study.
632-635 BibTeX
- Ken G. Ruan:
A new model architecture for customer software integration.
636-639 BibTeX
- Martin Vlach:
Programming interface requirements for an AMS simulator.
640-643 BibTeX
- Peter R. Wilson, J. Neil Ross, Andrew D. Brown, Andrew J. Rushton:
Multiple domain behavioral modeling using VHDL-AMS.
644-647 BibTeX
- Tohru Kohda:
Statistical properties of chaotic sequences generated by Jacobian elliptic Chebyshev rational maps.
648-651 BibTeX
- Anthony J. Lawrance, Gan Ohama:
Outage in chaos communication.
652-655 BibTeX
- Jörg Krupar, Andreas Mögel, Wolfgang M. Schwarz:
Analysis of hybrid systems by means of embedded return maps.
656-659 BibTeX
- Wolfgang Mathis:
Andronov-Hopf bifurcation of sinusoidal oscillators under the influence of noise.
660-663 BibTeX
- Ruedi Stoop, Norbert Stoop:
Computation by natural systems defined.
664-667 BibTeX
- Shoji Makino, Shoko Araki, Ryo Mukai, Hiroshi Sawada:
Audio source separation based on independent component analysis.
668-671 BibTeX
- Laurent Albera, Anne Ferréol, Pascal Chevalier, Pierre Comon:
ICAR: independent component analysis using redundancies.
672-675 BibTeX
- Shawn P. Neugebauer, Zhi Ding:
Blind SIMO channel estimation for CPM using the Laurent approximation.
676-679 BibTeX
- Kiyotaka Kohno, Yujiro Inouye, Mitsuru Kawamoto, Tetsuya Okamoto:
Adaptive super-exponential algorithms for blind deconvolution of MIMO systems.
680-683 BibTeX
- Andrzej Cichocki, Yuanqing Li, Pando G. Georgiev, Shun-ichi Amari:
Beyond ICA: robust sparse signal representations.
684-687 BibTeX
- Tian-Tsong Ng, Shih-Fu Chang, Qibin Sun:
Blind detection of photomontage using higher order statistics.
688-691 BibTeX
- Huijuan Yang, Alex C. Kot:
Data hiding for bi-level documents using smoothing technique.
692-695 BibTeX
- Hyoung Joong Kim, Taehoon Kim, In-Kwon Yeo:
A robust audio watermarking scheme.
696-699 BibTeX
- Anthony T. S. Ho, Xunzhan Zhu, Yong Liang Guan, Pina Marziliano:
Slant transform watermarking for textured images.
700-703 BibTeX
- Hui Cheng:
A review of video registration methods for watermark detection in digital cinema applications.
704-707 BibTeX
- Lauri Koskinen, Ari Paasio, Kari Halonen:
3-neighborhood motion estimation in CNN silicon architectures.
708-711 BibTeX
- Shaoquan Wu, Jiwu Huang, Daren Huang, Yun Q. Shi:
Self-synchronized audio watermark in DWT domain.
712-715 BibTeX
- Dajun He, Zhiyong Huang, Ruihua Ma, Qibin Sun:
Feature difference analysis in video authentication system.
716-719 BibTeX
- Frank Gollas, Christian Niederhöfer, Ronald Tetzlaff:
Prediction of brain electrical activity in epilepsy using a higher-dimensional prediction algorithm for discrete time cellular neural networks (DTCNN).
720-723 BibTeX
- Chin-Teng Lin, Chang-Moun Yeh, Chun-Fei Hsu:
Fuzzy neural network classification design using support vector machine.
724-727 BibTeX
- Norikazu Takahashi, Tetsuo Nishi:
Global convergence analysis of decomposition methods for support vector machines.
728-731 BibTeX
- Pengfei Xu, Chip-Hong Chang:
Self-organizing topological tree.
732-735 BibTeX
- José Gabriel Rodríguez Carneiro Gomes, Sanjit K. Mitra:
Sensitivity analysis of low-complexity vector quantizers for focal-plane image compression.
736-739 BibTeX
- W. P. Tang, H. K. Kwan:
Chaotic communications using nonlinear transform-pairs.
740-743 BibTeX
- Bernabé Linares-Barranco, Teresa Serrano-Gotarredona, Rafael Serrano-Gotarredona, Jesús Costas-Santos:
A new charge-packet driven mismatch-calibrated integrate-and-fire neuron for processing positive and negative signals in AER based systems.
744-747 BibTeX
- Katherine L. Cameron, Alan F. Murray:
Can spike timing dependent plasticity compensate for process mismatch in neuromorphic analogue VLSI?
748-751 BibTeX
- Ryan J. Kier, Reid R. Harrison, Randall D. Beer:
An MDAC synapse for analog neural networks.
752-755 BibTeX
- Jeff Dugger, Paul E. Hasler:
Supervised learning in a two-input analog floating-gate node.
756-759 BibTeX
- Abdullah Celik, Milutin Stanacevic, Gert Cauwenberghs:
Mixed-signal real-time adaptive blind source separation.
760-763 BibTeX
- Hazem M. El-Bakry, Herbert Stoyan:
Fast neural networks for sub-matrix (object/face) detection.
764-767 BibTeX
- Ernst M. Kussul, Tatiana Baidyk, Maksym Kussul:
Neural network system for face recognition.
768-771 BibTeX
- Paolo Gastaldo, Rodolfo Zunino:
No-reference quality assessment of JPEG images by using CBP neural networks.
772-775 BibTeX
- Basilio Esposito, Luigi Fortuna, Alessandro Rizzo:
A neural system for radiation discrimination in nuclear fusion applications.
776-779 BibTeX
- Stéphane Badel, Alexandre Schmid, Yusuf Leblebici:
Mixed analog-digital image processing circuit based on Hamming artificial neural network architecture.
780-783 BibTeX
- Alejandro Linares-Barranco, Gabriel Jiménez-Moreno, Antón Civit Balcells, Bernabé Linares-Barranco:
On synthetic AER generation.
784-787 BibTeX
- Dongming Xu, José Carlos Príncipe, John G. Harris:
Logic computation using coupled neural oscillators.
788-791 BibTeX
- Heejong Yoo, David W. Graham, David V. Anderson, Paul E. Hasler:
C4 band-pass delay filter for continuous-time subband adaptive tapped-delay filter.
792-795 BibTeX
- Mohammad Abdeen, Mustapha Chérif-Eddine Yagoub:
Neural modelling of the large-signal drain current of the dual-gate MESFET with DC and pulsed I-V measurements.
796-799 BibTeX
- Rafic A. Ayoubi, Haissam Ziade, Magdy A. Bayoumi:
Hopfield associative memory on mesh.
800-803 BibTeX
- Chip-Hong Chang, Pengfei Xu:
Frequency sensitive self-organizing maps and its application in color quantization.
804-807 BibTeX
- Christian Borgelt, Daniela Girimonte, Giuseppe Acciani:
Learning vector quantization: cluster size and cluster number.
808-811 BibTeX
- Juan-juan Gu, Liang Tao, H. K. Kwan:
Fast learning algorithms for new L2 SVM based on active set iteration method.
812-815 BibTeX
- Hamid Movahedian, Mehrdad Sharif Bakhtiar:
Design and sensitivity analysis of feed-forward neural ADC's.
816-819 BibTeX
- Tolga Ensari, Sabri Arik, Vedat Tavsanoglu:
Global asymptotic stability of a class of neural networks with time varying delays.
820-823 BibTeX
- Chi Yat Leung, Philip K. T. Mok, Ka Nang Leung:
A 1.2V buck converter with a novel on-chip low-voltage current-sensing scheme.
824-827 BibTeX
- Hylas Y. H. Lam, Wing-Hung Ki, Dongsheng Ma:
Loop gain analysis and development of high-speed high-accuracy current sensors for switching converters.
828-831 BibTeX
- Mark Hooper, Matt Kucic, Paul E. Hasler:
5V-only, standard 0.5/spl mu/m CMOS programmable and adaptive floating-gate circuits and arrays using CMOS charge pumps.
832-835 BibTeX
- Andrabadu K. P. Viraj, Gehan Amarathunga:
Analysis of switched capacitor DC-DC step down converter.
836-839 BibTeX
- Ming Zhang, Nicolas Llaser:
Optimization design of the Dickson charge pump circuit with a resistive load.
840-843 BibTeX
- Chi K. Michael Tse, Siu Chung Wong, K. C. Tam:
Novel D/sup 2/T control for single-switch dual-output switching power converters.
844-847 BibTeX
- Spartacus Gomaríz, Eduard Alarcón, Francisco Guinjoan, Enric Vidal-Idiarte, Luis Martinez-Salamero, Domingo Biel:
TSK-fuzzy controller design for a PWM boost DC-DC switching regulator operating at different steady state output voltages.
848-851 BibTeX
- Siu Chung Wong, Chi K. Michael Tse, K. C. Tam:
Spurious modulation on current-mode controlled DC/DC converters: an explanation for intermittent chaotic operation.
852-855 BibTeX
- Brad Bryant, Marian K. Kazimierczuk:
Small-signal duty cycle to inductor current transfer function for boost PWM DC-DC converter in continuous conduction mode.
856-859 BibTeX
- Brad Bryant, Marian K. Kazimierczuk:
Sample and hold effect in PWM DC-DC converters with peak current-mode control.
860-863 BibTeX
- Y. C. Julian Chiu, Henry Shu-Hung Chung, Ricky Lau, Bin Zhou:
The implementation of a transient DC-link boost based digital amplifier for eliminating pulse-dropping distortion.
864-867 BibTeX
- Hirotaka Koizumi, Kosuke Kurokawa, Shinsaku Mori:
Analysis of class D inverter with irregular driving patterns.
868-871 BibTeX
- Antonio Zorzano Martínez, Fernando Beltrán Blázquez, José Ramón Beltrán Blázquez:
A new topology for a sigma-delta audio power amplifier.
872-875 BibTeX
- Bin Zhou, Y. C. Julian Chiu, Ricky Lau, Henry Shu-Hung Chung:
Spectral analysis of a novel transient dynamic boost PWM inverter control for power amplifiers.
876-879 BibTeX
- Subbaraya Yuvarajan, Dachuan Yu:
Characteristics and modelling of PEM fuel cells.
880-883 BibTeX
- Boris Axelrod, Yefim Berkovich, Adrian Ioinovici:
A boost-switched capacitor-inverter with a multilevel waveform.
884-887 BibTeX
- Bor-Ren Lin, Tsung-Yu Yang, Yung-Chuan Lee:
Novel multilevel converter for power factor correction.
888-891 BibTeX
- Bin Zhou, Wing Hong Lau, Henry Shu-Hung Chung:
A compact generalized solution to the determination of spectral components for multilevel uniformly sampled PWM.
892-895 BibTeX
- Wonseok Lim, Byungcho Choi, Jiemyung Ko:
Current-mode control to enhance closed-loop performance of asymmetrical half-bridge dc-to-dc converters.
896-899 BibTeX
- Sean Nicolson, Khoman Phang:
Step-up versus step-down DC/DC converters for RF-powered systems.
900-903 BibTeX
- Hanoch Lev-Ari, Alex M. Stankovic:
Hilbert space techniques for reactive power compensation with limited current bandwidth.
904-907 BibTeX
- Yongqiang Liu, Zheng Yan, Yixin Ni, Felix F. Wu:
A geometrical study on voltage collapse mechanisms of power systems.
908-911 BibTeX
- Ian Dobson, Benjamin A. Carreras, David E. Newman:
Probabilistic load-dependent cascading failure with limited component interactions.
912-915 BibTeX
- Jie Wan, Karen Nan Miu:
Meter placement for load estimation in radial power distribution systems.
916-919 BibTeX
- Garng M. Huang, Jiansheng Lei:
A topological measurements and RTUs design against a contingency.
920-923 BibTeX
- Bor-Ren Lin, Chun-Hao Huang:
Single-phase capacitor clamped inverter with simple structure.
924-927 BibTeX
- Shek-Wai Ng, Yim-Shu Lee:
A generalized averaging model for fixed frequency converters.
928-931 BibTeX
- Kingsley C. Umeh, Azah Mohamed, Ramizi Mohamed, Aini Hussain:
Characterizing nonlinear load harmonics using fractal analysis.
932-935 BibTeX
- Hiroshi Shimazu, Toshimichi Saito:
Analysis of nonlinear dynamics in delta modulators for PWM control.
936-939 BibTeX
- Dongsheng Ma, Vincent H. S. Tam, Wing-Hung Ki, Hylas Y. H. Lam:
A CAD simulator based on loop gain measurement for switching converters.
940-943 BibTeX
- Dusan Gleich, Miro Milanovic, Suzana Uran, Franc Mihalic:
Digitally controlled buck converter.
944-947 BibTeX
- Pui-In Mak, Man-Chung Wong, Seng-Pan U.:
A 3D PWM control, H-bridge tri-level inverter for power quality compensation in three-phase four-wired systems.
948-951 BibTeX
- Juri Jatskevich, Tarek Aboul-Seoud:
Automated state-variable formulation for power electronic circuits and systems.
952-955 BibTeX
- Claudio Rivetta, Geoffrey A. Williamson:
Global behaviour analysis of a DC-DC boost power converter operating with constant power load.
956-959 BibTeX
- Bor-Ren Lin, Tsung-Yu Yang:
Single-phase three-level converter for power factor correction.
960-963 BibTeX
- Mark Hooper, Matt Kucic, Paul E. Hasler:
Characterization of charge-pump rectifiers for standard submicron CMOS processes.
964-967 BibTeX
- Apinan Aurasopon, Pinit Kumhom, Kosin Chamnongthai:
Suppression of harmonic spikes in asynchronous sigma delta modulation by randomizing hysteresis window.
968-971 BibTeX
- Jun Zhang, Renjie Ding, Haitao Song:
A new reliable supplied gate drive circuit for SCRs with breakover diodes for protection.
972-975 BibTeX
- Hanoch Lev-Ari, Kevin Xu, Milun Perisic, Alex M. Stankovic:
Hilbert space techniques for evaluating trade-offs in reactive power compensation.
976-979 BibTeX
- Pavlos S. Georgilakis, John A. Katsigiannis, Kimon P. Valavanis:
Petri net based transformer fault diagnosis.
980-983 BibTeX
- Rubén Salas-Cabrera, Claudio A. Cañizares:
Equilibrium analysis of voltage-fed field oriented controlled induction motors.
984-987 BibTeX
- Jiwu Duan, Dariusz Czarkowski, Zivan Zabar:
Neural network approach for estimation of load composition.
988-991 BibTeX
- Vincent Auvray, Ian Dobson, Louis Wehenkel:
Modifying eigenvalue interactions near weak resonance.
992-995 BibTeX
Copyright © Sat May 16 23:25:14 2009
by Michael Ley (ley@uni-trier.de)