dblp.uni-trier.dewww.uni-trier.de

Tong Jing

List of publications from the DBLP Bibliography Server - FAQ
Coauthor Index - Ask others: ACM DL/Guide - CiteSeer - CSB - Google - MSN - Yahoo

2008
30EEZhen Cao, Tong Jing, Jinjun Xiong, Yu Hu, Zhe Feng, Lei He, Xianlong Hong: Fashion: A Fast and Accurate Solution to Global Routing Problem. IEEE Trans. on CAD of Integrated Circuits and Systems 27(4): 726-737 (2008)
2007
29EEZhen Cao, Tong Jing, Jinjun Xiong, Yu Hu, Lei He, Xianlong Hong: DpRouter: A Fast and Accurate Dynamic-Pattern-Based Global Routing Algorithm. ASP-DAC 2007: 256-261
28EEChunta Chu, Xinyi Zhang, Lei He, Tong Jing: Temperature aware microprocessor floorplanning considering application dependent power load. ICCAD 2007: 586-589
27EEYu Hu, King Ho Tam, Tong Jing, Lei He: Fast dual-vdd buffering based on interconnect prediction and sampling. SLIP 2007: 95-102
2006
26EEZhen Cao, Tong Jing, Yu Hu, Yiyu Shi, Xianlong Hong, Xiaodong Hu, Guiying Yan: DraXRouter: global routing in X-Architecture with dynamic resource assignment. ASP-DAC 2006: 618-623
25EEYiyu Shi, Tong Jing, Lei He, Zhe Feng, Xianlong Hong: CDCTree: novel obstacle-avoiding routing tree construction based on current driven circuit model. ASP-DAC 2006: 630-635
24EES. P. Shang, Xiaodong Hu, Tong Jing: Average lengths of wire routing under M-architecture and X-architecture. ISCAS 2006
23EEZhe Feng, Yu Hu, Tong Jing, Xianlong Hong, Xiaodong Hu, Guiying Yan: An O(nlogn) algorithm for obstacle-avoiding routing tree construction in the lambda-geometry plane. ISPD 2006: 48-55
22EEJingyu Xu, Xianlong Hong, Tong Jing, Ling Zhang, Jun Gu: A coupling and crosstalk-considered timing-driven global routing algorithm for high-performance circuit design. Integration 39(4): 457-473 (2006)
21EEYu Hu, Tong Jing, Zhe Feng, Xianlong Hong, Xiaodong Hu, Guiying Yan: ACO-Steiner: Ant Colony Optimization Based Rectilinear Steiner Minimal Tree Algorithm. J. Comput. Sci. Technol. 21(1): 147-152 (2006)
2005
20EEYang Yang, Tong Jing, Xianlong Hong, Yu Hu, Qi Zhu, Xiaodong Hu, Guiying Yan: Via-Aware Global Routing for Good VLSI Manufacturability and High Yield. ASAP 2005: 198-203
19EEYin Wang, Xianlong Hong, Tong Jing, Yang Yang, Xiaodong Hu, Guiying Yan: The polygonal contraction heuristic for rectilinear Steiner tree construction. ASP-DAC 2005: 1-6
18EETong Jing, Ling Zhang, Jinghong Liang, Jingyu Xu, Xianlong Hong, Jinjun Xiong, Lei He: A Min-area Solution to Performance and RLC Crosstalk Driven Global Routing Problem. ASP-DAC 2005: 115-120
17EEYu Hu, Tong Jing, Xianlong Hong, Zhe Feng, Xiaodong Hu, Guiying Yan: An-OARSMan: obstacle-avoiding routing tree construction with good length performance. ASP-DAC 2005: 7-12
16EESongpu Shang, Xiaodong Hu, Tong Jing: Rotational Steiner Ratio Problem Under Uniform Orientation Metrics. CJCDGCGT 2005: 166-176
15EEJingyu Xu, Xianlong Hong, Tong Jing: Timing-driven global routing with efficient buffer insertion. ISCAS (3) 2005: 2449-2452
14EEJingyu Xu, Xianlong Hong, Tong Jing, Yang Yang: Obstacle-Avoiding Rectilinear Minimum-Delay Steiner Tree Construction towards IP-Block-Based SOC Design. ISQED 2005: 616-621
13EEYu Hu, Tong Jing, Xianlong Hong, Xiaodong Hu, Guiying Yan: A Routing Paradigm with Novel Resources Estimation and Routability Models for X-Architecture Based Physical Design. SAMOS 2005: 344-353
12EEQi Zhu, Hai Zhou, Tong Jing, Xianlong Hong, Yang Yang: Spanning graph-based nonrectilinear steiner tree algorithms. IEEE Trans. on CAD of Integrated Circuits and Systems 24(7): 1066-1075 (2005)
11EEJingyu Xu, Xianlong Hong, Tong Jing: Timing-Driven Global Routing with Efficient Buffer Insertion. IEICE Transactions 88-A(11): 3188-3195 (2005)
2004
10EEJingyu Xu, Xianlong Hong, Tong Jing, Ling Zhang, Jun Gu: A coupling and crosstalk considered timing-driven global routing algorithm for high performance circuit design. ASP-DAC 2004: 677-682
9EEQi Zhu, Hai Zhou, Tong Jing, Xianlong Hong, Yang Yang: Efficient octilinear Steiner tree construction based on spanning graphs. ASP-DAC 2004: 687-690
8 Ling Zhang, Tong Jing, Xianlong Hong, Jingyu Xu, Jinjun Xiong, Lei He: Performance and RLC crosstalk driven global routing. ISCAS (5) 2004: 65-68
7EEYin Wang, Xianlong Hong, Tong Jing, Yang Yang, Xiaodong Hu, Guiying Yan: An Efficient Low-Degree RMST Algorithm for VLSI/ULSI Physical Design. PATMOS 2004: 442-452
6EETong Jing, Xianlong Hong, Jingyu Xu, Haiyun Bao, Chung-Kuan Cheng, Jun Gu: UTACO: a unified timing and congestion optimization algorithm for standard cell global routing. IEEE Trans. on CAD of Integrated Circuits and Systems 23(3): 358-365 (2004)
2003
5EEJingyu Xu, Xianlong Hong, Tong Jing, Yici Cai, Jun Gu: An efficient hierarchical timing-driven Steiner tree algorithm for global routing. Integration 35(2): 69-84 (2003)
4EETong Jing, Xianlong Hong, Haiyun Bao, Jingyu Xu, Gu Jun: SSTT: Efficient Local Search for GSI Global Routing. J. Comput. Sci. Technol. 18(5): 632-640 (2003)
3EEXianlong Hong, Tong Jing, Jingyu Xu, Haiyun Bao, Gu Jun: CNB: A Critical-Network-Based Timing Optimization Method for Standard Cell Global Routing. J. Comput. Sci. Technol. 18(6): 732-738 (2003)
2002
2EETong Jing, Xianlong Hong, Haiyun Bao, Yici Cai, Jingyu Xu, Jun Gu: A novel and efficient timing-driven global router for standard cell layout design based on critical network concept. ISCAS (1) 2002: 165-168
1EEJingyu Xu, Xianlong Hong, Tong Jing, Yici Cai, Jun Gu: An Efficient Hierarchical Timing-Driven Steiner Tree Algorithm for Global Routing. VLSI Design 2002: 473-478

Coauthor Index

1Haiyun Bao [2] [3] [4] [6]
2Yici Cai [1] [2] [5]
3Zhen Cao [26] [29] [30]
4Chung-Kuan Cheng [6]
5Chunta Chu [28]
6Zhe Feng [17] [21] [23] [25] [30]
7Jun Gu [1] [2] [5] [6] [10] [22]
8Lei He [8] [18] [25] [27] [28] [29] [30]
9Xianlong Hong [1] [2] [3] [4] [5] [6] [7] [8] [9] [10] [11] [12] [13] [14] [15] [17] [18] [19] [20] [21] [22] [23] [25] [26] [29] [30]
10Xiao-Dong Hu (Xiaodong Hu) [7] [13] [16] [17] [19] [20] [21] [23] [24] [26]
11Yu Hu [13] [17] [20] [21] [23] [26] [27] [29] [30]
12Gu Jun [3] [4]
13Jinghong Liang [18]
14S. P. Shang [24]
15Songpu Shang [16]
16Yiyu Shi [25] [26]
17King Ho Tam [27]
18Yin Wang [7] [19]
19Jinjun Xiong [8] [18] [29] [30]
20Jingyu Xu [1] [2] [3] [4] [5] [6] [8] [10] [11] [14] [15] [18] [22]
21Guiying Yan [7] [13] [17] [19] [20] [21] [23] [26]
22Yang Yang [7] [9] [12] [14] [19] [20]
23Ling Zhang [8] [10] [18] [22]
24Xinyi Zhang [28]
25Hai Zhou [9] [12]
26Qi Zhu [9] [12] [20]

Copyright © Sun May 17 03:24:02 2009 by Michael Ley (ley@uni-trier.de)