dblp.uni-trier.dewww.uni-trier.de

Hideyuki Ichihara

List of publications from the DBLP Bibliography Server - FAQ
Coauthor Index - Ask others: ACM DL/Guide - CiteSeer - CSB - Google - MSN - Yahoo

2008
23EEHideyuki Ichihara, Tomoyuki Saiki, Tomoo Inoue: An Architecture of Embedded Decompressor with Reconfigurability for Test Compression. IEICE Transactions 91-D(3): 713-719 (2008)
22EETomoo Inoue, Takashi Fujii, Hideyuki Ichihara: A Self-Test of Dynamically Reconfigurable Processors with Test Frames. IEICE Transactions 91-D(3): 756-762 (2008)
2007
21EETomoo Inoue, Takashi Fujii, Hideyuki Ichihara: Optimal Contexts for the Self-Test of Coarse Grain Dynamically Reconfigurable Processors. European Test Symposium 2007: 117-124
20EETomokazu Yoneda, Akiko Shuto, Hideyuki Ichihara, Tomoo Inoue, Hideo Fujiwara: TAM Design and Optimization for Transparency-Based SoC Test. VTS 2007: 381-388
19EEHideyuki Ichihara, Toshihiro Ohara, Michihiro Shintani, Tomoo Inoue: A Variable-Length Coding Adjustable for Compressed Test Application. IEICE Transactions 90-D(8): 1235-1242 (2007)
18EEHideyuki Ichihara, Toshimasa Kuchii, Masaaki Yamadate, Hideaki Sakaguchi, Hiroshi Uemura, Kozo Kinoshita: A statistical error model for image sensors and its testing. Systems and Computers in Japan 38(11): 1-11 (2007)
2006
17EETomoyuki Saiki, Hideyuki Ichihara, Tomoo Inoue: A Reconfigurable Embedded Decompressor for Test Compression. DELTA 2006: 301-308
2005
16EEMichihiro Shintani, Toshihiro Ohara, Hideyuki Ichihara, Tomoo Inoue: A Huffman-based coding with efficient test application. ASP-DAC 2005: 75-78
15EEHideyuki Ichihara, Tomoo Inoue, Naoki Okamoto, Toshinori Hosokawa, Hideo Fujiwara: An Effective Design for Hierarchical Test Generation Based on Strong Testability. Asian Test Symposium 2005: 288-293
14EEHideyuki Ichihara, Michihiro Shintani, Tomoo Inoue: Huffman-Based Test Response Coding. IEICE Transactions 88-D(1): 158-161 (2005)
13EEYoshinobu Higami, Seiji Kajihara, Hideyuki Ichihara, Yuzo Takamatsu: Test cost reduction for logic circuits: Reduction of test data volume and test application time. Systems and Computers in Japan 36(6): 69-83 (2005)
2004
12EEHideyuki Ichihara, Masakuni Ochi, Michihiro Shintani, Tomoo Inoue: A Test Decompression Scheme for Variable-Length Coding. Asian Test Symposium 2004: 426-431
2003
11EEHideyuki Ichihara, Michihiro Shintani, Toshihiro Ohara, Tomoo Inoue: Test Response Compression Based on Huffman Coding. Asian Test Symposium 2003: 446-451
10EEHideyuki Ichihara, Tomoo Inoue: Test Generation for Acyclic Sequential Circuits with Single Stuck-at Fault Combinational ATPG. DATE 2003: 11180-11181
9EEHideyuki Ichihara, Kozo Kinoshita, Koji Isodono, Shigeki Nishikawa: Channel Width Test Data Compression under a Limited Number of Test Inputs and Outputs. VLSI Design 2003: 329-334
2002
8EEHideyuki Ichihara, Tomoo Inoue: Generating Small Test Sets for Test Compression/Decompression Scheme Using Statistical Coding. DELTA 2002: 396-402
2001
7EEHideyuki Ichihara, Atsuhiro Ogawa, Tomoo Inoue, Akio Tamura: Dynamic Test Compression Using Statistical Coding. Asian Test Symposium 2001: 143-
2000
6EEHideyuki Ichihara, Kozo Kinoshita, Irith Pomeranz, Sudhakar M. Reddy: Test Transformation to Improve Compaction by Statistical Encoding. VLSI Design 2000: 294-299
1999
5EEHideyuki Ichihara, Kozo Kinoshita, Seiji Kajihara: On an Effective Selection of IDDQ Measurement Vectors for Sequential Circuits. Asian Test Symposium 1999: 147-152
4EEHideyuki Ichihara, Kozo Kinoshita, Seiji Kajihara: On Test Generation with A Limited Number of Tests. Great Lakes Symposium on VLSI 1999: 12-15
1998
3EEHideyuki Ichihara, Seiji Kajihara, Kozo Kinoshita: An Efficient Procedure for Obtaining Implication Relations and Its Application to Redundancy Identification. Asian Test Symposium 1998: 58-63
1997
2EEHideyuki Ichihara, Kozo Kinoshita: On Acceleration of Logic Circuits Optimization Using Implication Relations. Asian Test Symposium 1997: 222-227
1EEHideyuki Ichihara, Kozo Kinoshita, Seiji Kajihara: On invariant implication relations for removing partial circuits. Systems and Computers in Japan 28(7): 39-47 (1997)

Coauthor Index

1Takashi Fujii [21] [22]
2Hideo Fujiwara [15] [20]
3Yoshinobu Higami [13]
4Toshinori Hosokawa [15]
5Tomoo Inoue [7] [8] [10] [11] [12] [14] [15] [16] [17] [19] [20] [21] [22] [23]
6Koji Isodono [9]
7Seiji Kajihara [1] [3] [4] [5] [13]
8Kozo Kinoshita [1] [2] [3] [4] [5] [6] [9] [18]
9Toshimasa Kuchii [18]
10Shigeki Nishikawa [9]
11Masakuni Ochi [12]
12Atsuhiro Ogawa [7]
13Toshihiro Ohara [11] [16] [19]
14Naoki Okamoto [15]
15Irith Pomeranz [6]
16Sudhakar M. Reddy [6]
17Tomoyuki Saiki [17] [23]
18Hideaki Sakaguchi [18]
19Michihiro Shintani [11] [12] [14] [16] [19]
20Akiko Shuto [20]
21Yuzo Takamatsu [13]
22Akio Tamura [7]
23Hiroshi Uemura [18]
24Masaaki Yamadate [18]
25Tomokazu Yoneda [20]

Copyright © Sun May 17 03:24:02 2009 by Michael Ley (ley@uni-trier.de)