dblp.uni-trier.dewww.uni-trier.de

Takao Onoye

List of publications from the DBLP Bibliography Server - FAQ
Coauthor Index - Ask others: ACM DL/Guide - CiteSeer - CSB - Google - MSN - Yahoo

2008
51EEKoichi Hamamoto, Hiroshi Fuketa, Masanori Hashimoto, Yukio Mitsuyama, Takao Onoye: Experimental study on body-biasing layout style-- negligible area overhead enables sufficient speed controllability --. ACM Great Lakes Symposium on VLSI 2008: 387-390
50EEYasuhiro Ogasahara, Masanori Hashimoto, Takao Onoye: Dynamic supply noise measurement circuit composed of standard cells suitable for in-site SoC power integrity verification. ASP-DAC 2008: 107-108
49EEHiroshi Fuketa, Masanori Hashimoto, Yukio Mitsuyama, Takao Onoye: Correlation verification between transistor variability model with body biasing and ring oscillation frequency in 90nm subthreshold circuits. ISLPED 2008: 3-8
48EEShinya Abe, Masanori Hashimoto, Takao Onoye: Clock Skew Evaluation Considering Manufacturing Variability in Mesh-Style Clock Distribution. ISQED 2008: 520-525
47EENobuyuki Iwanaga, Tomoya Matsumura, Akihiro Yoshida, Wataru Kobayashi, Takao Onoye: Embedded System Implementation of Sound Localization in Proximal Region. IEICE Transactions 91-A(3): 763-771 (2008)
2007
46EEKenichi Shinkai, Masanori Hashimoto, Takao Onoye: Future Prediction of Self-Heating in Short Intra-Block Wires. ISQED 2007: 660-665
45 M. N. Bin Mohd Nor, Tomoya Matsumura, Takao Onoye: Direction of arrival estimation improvement of speech on a two-microphone array. SIP 2007: 122-127
44EEKosuke Tsujino, Wataru Kobayashi, Takao Onoye, Yukihiro Nakamura: Efficient 3-D Sound Movement with Time-Varying IIR Filters. IEICE Transactions 90-A(3): 618-625 (2007)
43EEYasuhiro Ogasahara, Masanori Hashimoto, Takao Onoye: Quantitative Prediction of On-Chip Capacitive and Inductive Crosstalk Noise and Tradeoff between Wire Cross-Sectional Area and Inductive Crosstalk Effect. IEICE Transactions 90-A(4): 724-731 (2007)
2006
42EEKyoko Ueda, Atsushi Kosaka, Ryoichi Watanabe, Yoshinori Takeuchi, Takao Onoye, Yuichi Itoh, Yoshifumi Kitamura, Fumio Kishino: m-ActiveCube; Multimedia Extension of Spatial Tangible User Interface. BioADIT 2006: 363-370
41EEKenichi Shinkai, Masanori Hashimoto, Atsushi Kurokawa, Takao Onoye: A gate delay model focusing on current fluctuation over wide-range of process and environmental variability. ICCAD 2006: 47-53
40EEYasuhiro Ogasahara, Masanori Hashimoto, Takao Onoye: Quantitative Prediction of On-chip Capacitive and Inductive Crosstalk Noise and Discussion on Wire Cross-Sectional Area Toward Inductive Crosstalk Free Interconnects. ICCD 2006
39EEJumpei Ashida, Ryusuke Miyamoto, Hiroshi Tsutsui, Takao Onoye, Yukihiro Nakamura: Probabilistic Pedestrian Tracking Based on a Skeleton Model. ICIP 2006: 2825-2828
38EEHiroki Sugano, Hiroshi Tsutsui, Takahiko Masuzaki, Takao Onoye, Hiroyuki Ochi, Yukihiro Nakamura: Efficient memory architecture for JPEG2000 entropy codec. ISCAS 2006
37EEGen Fujita, Takaaki Imanaka, Hyunh Van Nhat, Takao Onoye, Isao Shirakawa: Real-Time Human Object Extraction Method for Mobile Systems Based on Color Space Segmentation. IEICE Transactions 89-A(4): 941-949 (2006)
2005
36EERyusuke Miyamoto, Hiroaki Sugita, Yoshiteru Hayashi, Hiroshi Tsutsui, Takahiko Masuzaki, Takao Onoye, Yukihiro Nakamura: High quality Motion JPEG2000 coding scheme based on the human visual system. ISCAS (3) 2005: 2096-2099
35EETomoya Matsumura, Nobuyuki Iwanaga, Takao Onoye, Wataru Kobayashi, Isao Shirakawa, Itthichai Arungsrisangchai: 3D sound movement system for embedded applications. ISCAS (5) 2005: 5345-5348
34EEYukio Mitsuyama, Motoki Kimura, Takao Onoye, Isao Shirakawa: Architecture of IEEE802.11i Cipher Algorithms for Embedded Systems. IEICE Transactions 88-A(4): 899-906 (2005)
33EEAtsushi Kosaka, Hiroyuki Okuhata, Takao Onoye, Isao Shirakawa: Design of Ogg Vorbis Decoder System for Embedded Platform. IEICE Transactions 88-A(8): 2124-2130 (2005)
32EEKosuke Tsujino, Kazuhiko Furuya, Wataru Kobayashi, Tomonori Izumi, Takao Onoye, Yukihiro Nakamura: Design of Realtime 3-D Sound Processing System. IEICE Transactions 88-D(5): 954-962 (2005)
2004
31EEHiroshi Tsutsui, Takahiko Masuzaki, Yoshiteru Hayashi, Yoshitaka Taki, Tomonori Izumi, Takao Onoye, Yukihiro Nakamura: Scalable Design Framework for JPEG2000 System Architecture. Asia-Pacific Computer Systems Architecture Conference 2004: 296-308
30EEAtsushi Kosaka, Satoshi Yamaguchi, Hiroyuki Okuhata, Takao Onoye, Isao Shirakawa: SoC design of Ogg Vorbis decoder using embedded processor. Conf. Computing Frontiers 2004: 481-487
29EEHiroaki Sugita, Minh Q. Minh, Takahiko Masuzaki, Hiroshi Tsutsui, Tomonori Izumi, Takao Onoye, Yukihiro Nakamura: JPEG2000 high-speed progressive decoding scheme. ISCAS (3) 2004: 873-876
2003
28 Kosuke Tsujino, Atsuhito Shigiya, Tomonori Izumi, Takao Onoye, Yukihiro Nakamura, Wataru Kobayashi: A DSP-Based 3-D Sound Synthesis System for Moving Sound Images. GAME-ON 2003: 23-
27 Kenji Hontani, Takaaki Imanaka, Gen Fujita, Takao Onoye, Isao Shirakawa: Real-time face object extraction for video phone. ICIP (3) 2003: 873-876
26EES. Komata, A. Pal, Noriaki Sakamoto, Wataru Kobayashi, Takao Onoye, Isao Shirakawa: Interactive interface of realtime 3D sound movement for embedded applications. ISCAS (2) 2003: 520-523
25EEYoshiteru Hayashi, Hiroshi Tsutsui, Takahiko Masuzaki, Tomonori Izumi, Takao Onoye, Yukihiro Nakamura: Design framework for JPEG2000 encoding system architecture. ISCAS (2) 2003: 740-743
24EENoriaki Sakamoto, Wataru Kobayashi, Takao Onoye, Isao Shirakawa: Single DSP Implementation of Realtime 3D Sound Synthesis Algorithm. Journal of Circuits, Systems, and Computers 12(1): 55-74 (2003)
2002
23EEKenji Hontani, Takaaki Imanaka, Gen Fujita, Takao Onoye, Isao Shirakawa: Realtime face object extraction algorithm for video phone. APCCAS (1) 2002: 35-38
22EEHiroshi Tsutsui, Takahiko Masuzaki, Tomonori Izumi, Takao Onoye, Yukihiro Nakamura: High speed JPEG2000 encoder by configurable processor. APCCAS (1) 2002: 45-50
21EENobuyuki Iwanaga, Wataru Kobayashi, Kazuhiko Furuya, Mamoru Sakamoto, Takao Onoye, Isao Shirakawa: Embedded implementation of acoustic field enhancement for stereo headphones. APCCAS (1) 2002: 51-54
20 Hiroshi Tsutsui, Takahiko Masuzaki, Tomonori Izumi, Takao Onoye, Yukihiro Nakamura: Adaptive rate control for JPEG2000 image coding in embedded systems. ICIP (3) 2002: 77-80
19EEYoshihiro Uchida, M. Ise, Takao Onoye, Isao Shirakawa, Itthichai Arungsrisangchai: VLSI architecture of digital matched filter and prime interleaver for W-CDMA. ISCAS (3) 2002: 269-272
18EETakahiko Masuzaki, Hiroshi Tsutsui, Tomonori Izumi, Takao Onoye, Yukihiro Nakamura: JPEG2000 adaptive rate control for embedded systems. ISCAS (4) 2002: 333-336
17EETakao Onoye, Yukihiro Nakamura, Atsuhito Shigiya, Keishi Chikamura, Kosuke Tsujino, Tomonori Izumi, Hirofumi Yamamoto: System-Level Design of IEEE1394 Bus Segment Bridge. ISSS 2002: 74-79
16 T. Kaya, Isao Shirakawa, Ryusuke Miyamoto, Takao Onoye: Design of Embedded System for Video Coding with Logic-Enhanced DRAM and Configurable Process. MTDT 2002
2001
15EEYukio Mitsuyama, Zaldy Andales, Takao Onoye, Isao Shirakawa: A dynamically reconfigurable hardware-based cipher chip. ASP-DAC 2001: 11-12
14EERoberto Y. Omaki, Yu Dong, Morgan Hirosuke Miki, Makoto Furuie, Daisuke Taki, Masaya Tarui, Gen Fujita, Takao Onoye, Isao Shirakawa: Realtime wavelet video coder based on reduced memory accessing. ASP-DAC 2001: 15-16
13EEYukio Mitsuyama, Zaldy Andales, Takao Onoye, Isao Shirakawa: VLSI architecture of dynamically reconfigurable hardware-based cipher. ISCAS (4) 2001: 734-737
12EEKeishi Chikamura, Tomonori Izumi, Takao Onoye, Yukihiro Nakamura: IEEE1394 system simulation environment and a design of its link layer controller. ISCAS (5) 2001: 1-4
11EEHiroshi Tsutsui, K. Hiwada, Tomonori Izumi, Takao Onoye, Yukihiro Nakamura: A design of LUT-array-based PLD and a synthesis approach based on sum of generalized complex terms expression. ISCAS (5) 2001: 203-206
10 Morgan Hirosuke Miki, Motoki Kimura, Takao Onoye, Isao Shirakawa: High Performance Java Hardware Engine and Software Kernel for Embedded Systems. VLSI-SOC 2001: 109-120
2000
9EEMakoto Furuie, Bao-Yu Song, Yukihiro Yoshida, Takao Onoye, Isao Shirakawa: Layout generation of array cell for NMOS 4-phase dynamic logic (short paper). ASP-DAC 2000: 529-532
8 Yu Dong, Roberto Y. Omaki, Takao Onoye, Isao Shirakawa: VLSI Implementation of a Reduced Memory Bandwidth Realtime EZW Video Coder. ICIP 2000
1999
7EEKoji Asari, Yukio Mitsuyama, Takao Onoye, Isao Shirakawa, Hiroshige Hirano, Toshiyuki Honda, Tatsuo Otsuki, Takaaki Baba, Teresa H. Y. Meng: FeRAM Circuit Technology for System on a Chip. Evolvable Hardware 1999: 193-
6EEH. Fujishima, Y. Takemoto, T. Yoneda, Takao Onoye, Isao Shirakawa: Hybrid media-processor core for natural and synthetic video decoding. ISCAS (4) 1999: 275-278
5EEMorgan Hirosuke Miki, Daisuke Taki, Gen Fujita, Takao Onoye, Isao Shirakawa, T. Fujiwara, T. Kasami: Recursive maximum likelihood decoder for high-speed satellite communication. ISCAS (4) 1999: 572-575
1998
4 Takao Onoye, Gen Fujita, Hiroyuki Okuhata, Morgan Hirosuke Miki, Isao Shirakawa: Low-Power Implementation of H.324 Audiovisual Codec Dedicated to Mobile Computing. ASP-DAC 1998: 589-594
1997
3EEYukihiro Yoshida, Bao-Yu Song, Hiroyuki Okuhata, Takao Onoye, Isao Shirakawa: An object code compression approach to embedded processors. ISLPED 1997: 265-268
2EEMorgan Hirosuke Miki, Gen Fujita, Takao Onoye, Isao Shirakawa: Low-power H.263 video CoDec dedicated to mobile computing. ISLPED 1997: 80-83
1994
1 Takayuki Sagishima, Kozo Kimura, Hiroaki Hirata, Tokuzo Kiyohara, Shigeo Asahara, Takao Onoye, Isao Shirakawa: Multi-Threaded Processor for Image Generation. ISCAS 1994: 231-234

Coauthor Index

1Shinya Abe [48]
2Zaldy Andales [13] [15]
3Itthichai Arungsrisangchai [19] [35]
4Shigeo Asahara [1]
5Koji Asari [7]
6Jumpei Ashida [39]
7Takaaki Baba [7]
8Keishi Chikamura [12] [17]
9Yu Dong [8] [14]
10H. Fujishima [6]
11Gen Fujita [2] [4] [5] [14] [23] [27] [37]
12T. Fujiwara [5]
13Hiroshi Fuketa [49] [51]
14Makoto Furuie [9] [14]
15Kazuhiko Furuya [21] [32]
16Koichi Hamamoto [51]
17Masanori Hashimoto [40] [41] [43] [46] [48] [49] [50] [51]
18Yoshiteru Hayashi [25] [31] [36]
19Hiroshige Hirano [7]
20Hiroaki Hirata [1]
21K. Hiwada [11]
22Toshiyuki Honda [7]
23Kenji Hontani [23] [27]
24Takaaki Imanaka [23] [27] [37]
25M. Ise [19]
26Yuichi Itoh [42]
27Nobuyuki Iwanaga [21] [35] [47]
28Tomonori Izumi [11] [12] [17] [18] [20] [22] [25] [28] [29] [31] [32]
29T. Kasami [5]
30T. Kaya [16]
31Kozo Kimura [1]
32Motoki Kimura [10] [34]
33Fumio Kishino [42]
34Yoshifumi Kitamura [42]
35Tokuzo Kiyohara [1]
36Wataru Kobayashi [21] [24] [26] [28] [32] [35] [44] [47]
37S. Komata [26]
38Atsushi Kosaka [30] [33] [42]
39Atsushi Kurokawa [41]
40Takahiko Masuzaki [18] [20] [22] [25] [29] [31] [36] [38]
41Tomoya Matsumura [35] [45] [47]
42Teresa H. Y. Meng [7]
43Morgan Hirosuke Miki [2] [4] [5] [10] [14]
44Minh Q. Minh [29]
45Yukio Mitsuyama [7] [13] [15] [34] [49] [51]
46Ryusuke Miyamoto [16] [36] [39]
47Yukihiro Nakamura [11] [12] [17] [18] [20] [22] [25] [28] [29] [31] [32] [36] [38] [39] [44]
48Hyunh Van Nhat [37]
49M. N. Bin Mohd Nor [45]
50Hiroyuki Ochi [38]
51Yasuhiro Ogasahara [40] [43] [50]
52Hiroyuki Okuhata [3] [4] [30] [33]
53Roberto Y. Omaki [8] [14]
54Tatsuo Otsuki [7]
55A. Pal [26]
56Takayuki Sagishima [1]
57Mamoru Sakamoto [21]
58Noriaki Sakamoto [24] [26]
59Atsuhito Shigiya [17] [28]
60Kenichi Shinkai [41] [46]
61Isao Shirakawa [1] [2] [3] [4] [5] [6] [7] [8] [9] [10] [13] [14] [15] [16] [19] [21] [23] [24] [26] [27] [30] [33] [34] [35] [37]
62Bao-Yu Song [3] [9]
63Hiroki Sugano [38]
64Hiroaki Sugita [29] [36]
65Y. Takemoto [6]
66Yoshinori Takeuchi [42]
67Daisuke Taki [5] [14]
68Yoshitaka Taki [31]
69Masaya Tarui [14]
70Kosuke Tsujino [17] [28] [32] [44]
71Hiroshi Tsutsui [11] [18] [20] [22] [25] [29] [31] [36] [38] [39]
72Yoshihiro Uchida [19]
73Kyoko Ueda [42]
74Ryoichi Watanabe [42]
75Satoshi Yamaguchi [30]
76Hirofumi Yamamoto [17]
77T. Yoneda [6]
78Akihiro Yoshida [47]
79Yukihiro Yoshida [3] [9]

Copyright © Sun May 17 03:24:02 2009 by Michael Ley (ley@uni-trier.de)