dblp.uni-trier.dewww.uni-trier.de

Kiyoung Choi

List of publications from the DBLP Bibliography Server - FAQ
Coauthor Index - Ask others: ACM DL/Guide - CiteSeer - CSB - Google - MSN - Yahoo

2008
69EEDongwook Lee, Sungjoo Yoo, Kiyoung Choi: Entry control in network-on-chip for memory power reduction. ISLPED 2008: 171-176
68EEV. K. Prasad Arava, Manhwee Jo, HyoukJoong Lee, Kiyoung Choi: A Generic Design for Encoding and Decoding Variable Length Codes in Multi-codec Video Processing Engines. ISVLSI 2008: 197-202
67EEYongjin Ahn, Keesung Han, Ganghee Lee, Hyunjik Song, Jun-hee Yoo, Kiyoung Choi, Xingguang Feng: SoCDAL: System-on-chip design AcceLerator. ACM Trans. Design Autom. Electr. Syst. 13(1): (2008)
66EESoonhoi Ha, Kiyoung Choi, Taewhan Kim, Krisztián Flautner, Sang Lyul Min, Wang Yi: Introduction to embedded systems week 2006 special issue. ACM Trans. Embedded Comput. Syst. 7(2): (2008)
65EEJong-eun Lee, Kiyoung Choi, Nikil Dutt: Evaluating memory architectures for media applications on Coarse-grained Reconfigurable Architectures. IJES 3(3): 119-127 (2008)
2007
64 Soonhoi Ha, Kiyoung Choi, Nikil D. Dutt, Jürgen Teich: Proceedings of the 5th International Conference on Hardware/Software Codesign and System Synthesis, CODES+ISSS 2007, Salzburg, Austria, September 30 - October 3, 2007 ACM 2007
63EEImyong Lee, Dongwook Lee, Kiyoung Choi: Memory Operation Inclusive Instruction-Set Extensions and Data Path Generation. ASAP 2007: 383-390
62EEJun-hee Yoo, Dongwook Lee, Sungjoo Yoo, Kiyoung Choi: Communication Architecture Synthesis of Cascaded Bus Matrix. ASP-DAC 2007: 171-177
61EEGanghee Lee, Seokhyun Lee, Yongjin Ahn, Kiyoung Choi: Automatic Bus Matrix Synthesis based on Hardware Interface Selection for Fast Communication Design Space Exploration. ICSAMOS 2007: 50-57
60EEYoungchul Cho, Nacer-Eddine Zergainoh, Kiyoung Choi, Ahmed Amine Jerraya: Low Runtime-Overhead Software Synthesis for Communicating Concurrent Processes. IEEE International Workshop on Rapid System Prototyping 2007: 195-201
59EEYoungchul Cho, Nacer-Eddine Zergainoh, Ahmed Amine Jerraya, Kiyoung Choi: Buffer Size Reduction through Control-Flow Decomposition. RTCSA 2007: 183-190
58EEJong-eun Lee, Kiyoung Choi, Nikil D. Dutt: Instruction set synthesis with efficient instruction encoding for configurable processors. ACM Trans. Design Autom. Electr. Syst. 12(1): (2007)
57EEYoonjin Kim, Mary Kiemb, Chulsoo Park, Jinyong Jung, Kiyoung Choi: Resource Sharing and Pipelining in Coarse-Grained Reconfigurable Architecture for Domain-Specific Optimization CoRR abs/0710.4704: (2007)
2006
56 Reinaldo A. Bergamaschi, Kiyoung Choi: Proceedings of the 4th International Conference on Hardware/Software Codesign and System Synthesis, CODES+ISSS 2006, Seoul, Korea, October 22-25, 2006 ACM 2006
55EEJun-hee Yoo, Xingguang Feng, Kiyoung Choi, Eui-Young Chung, Kyu-Myung Choi: Worst case execution time analysis for synthesized hardware. ASP-DAC 2006: 905-910
54EEMinwook Ahn, Jonghee W. Yoon, Yunheung Paek, Yoonjin Kim, Mary Kiemb, Kiyoung Choi: A spatial mapping algorithm for heterogeneous coarse-grained reconfigurable architectures. DATE 2006: 363-368
53EEYoonjin Kim, Ilhyun Park, Kiyoung Choi, Yunheung Paek: Power-conscious configuration cache structure and code mapping for coarse-grained reconfigurable architecture. ISLPED 2006: 310-315
2005
52EEYoungchul Cho, Sungjoo Yoo, Kiyoung Choi, Nacer-Eddine Zergainoh, Ahmed Amine Jerraya: Scheduler implementation in MP SoC design. ASP-DAC 2005: 151-156
51EEYoonjin Kim, Mary Kiemb, Chulsoo Park, Jinyong Jung, Kiyoung Choi: Resource Sharing and Pipelining in Coarse-Grained Reconfigurable Architecture for Domain-Specific Optimization. DATE 2005: 12-17
50EEDaehong Kim, Dongwan Shin, Kiyoung Choi: Pipelining with common operands for power-efficient linear systems. IEEE Trans. VLSI Syst. 13(9): 1023-1034 (2005)
2004
49 Rajiv V. Joshi, Kiyoung Choi, Vivek Tiwari, Kaushik Roy: Proceedings of the 2004 International Symposium on Low Power Electronics and Design, 2004, Newport Beach, California, USA, August 9-11, 2004 ACM 2004
48EEMary Kiemb, Kiyoung Choi: Memory and architecture exploration with thread shifting for multithreaded processors in embedded systems. CASES 2004: 230-237
47EEWhee Kuk Kim, Kiyoung Choi, Byung-Ju Yi: A Mobility Analysis Method of Closed-chain Mechanisms with Over-constraints and Non-holonomic Constraints. ICRA 2004: 2801-2807
46 Mary Kiemb, Kiyoung Choi: Application-specific configuration of multithreaded processor architecture for embedded applications. ISCAS (2) 2004: 941-944
2003
45EEJong-eun Lee, Kiyoung Choi, Nikil D. Dutt: Evaluating Memory Architectures for Media Applications on Coarse-Grained Recon.gurable Architectures. ASAP 2003: 172-182
44EEYoungchul Cho, Ganghee Lee, Sungjoo Yoo, Kiyoung Choi, Nacer-Eddine Zergainoh: Scheduling and Timing Analysis of HW/SW On-Chip Communication in MP SoC Design. DATE 2003: 20132-20137
43EEJong-eun Lee, Kiyoung Choi, Nikil D. Dutt: Energy-efficient instruction set synthesis for application-specific processors. ISLPED 2003: 330-333
42EEJong-eun Lee, Kiyoung Choi, Nikil D. Dutt: An algorithm for mapping loops onto coarse-grained reconfigurable architectures. LCTES 2003: 183-188
41EENikil D. Dutt, Kiyoung Choi: Configurable Processors for Embedded Computing. IEEE Computer 36(1): 120-123 (2003)
40EEJong-eun Lee, Kiyoung Choi, Nikil D. Dutt: Compilation Approach for Coarse-Grained Reconfigurable Architectures. IEEE Design & Test of Computers 20(1): 26-33 (2003)
2002
39EESunghyun Lee, Sungjoo Yoo, Kiyoung Choi: Reconfigurable SoC design with hierarchical FSM and synchronous dataflow model. CODES 2002: 199-204
38EEJong-eun Lee, Kiyoung Choi, Nikil Dutt: Efficient instruction encoding for automatic instruction set design of configurable ASIPs. ICCAD 2002: 649-654
37EESunghyun Lee, Kiyoung Choi, Sungjoo Yoo: An intra-task dynamic voltage scaling method for SoC design with hierarchical FSM and synchronous dataflow model. ISLPED 2002: 84-87
2001
36EEJinhwan Jeon, Daehong Kim, Dongwan Shin, Kiyoung Choi: High-level synthesis under multi-cycle interconnect delay. ASP-DAC 2001: 662
35EEKyoungseok Rha, Kiyoung Choi: Area-efficient buffer binding based on a novel two-port FIFO structure. CODES 2001: 122-127
34EESungtaek Lim, Jihong Kim, Kiyoung Choi: Scheduling-based code size reduction in processors with indirect addressing mode. CODES 2001: 165-169
33EEJinyong Jung, Sungjoo Yoo, Kiyoung Choi: Performance improvement of multi-processor systems cosimulation based on SW analysis. DATE 2001: 749-753
32EEDaehong Kim, Jinyong Jung, Sunghyun Lee, Jinhwan Jeon, Kiyoung Choi: Behavior-to-Placed RTL Synthesis with Performance-Driven Placement. ICCAD 2001: 320-
31EEDaehong Kim, Dongwan Shin, Kiyoung Choi: Low power pipelining of linear systems: a common operand centric approach. ISLPED 2001: 225-230
30EEYoungsoo Shin, Soo-Ik Chae, Kiyoung Choi: Partial bus-invert coding for power optimization of application-specific systems. IEEE Trans. VLSI Syst. 9(2): 377-383 (2001)
29EEYoungsoo Shin, Kiyoung Choi, Young-Hoon Chang: Narrow bus encoding for low-power DSP systems. IEEE Trans. VLSI Syst. 9(5): 656-660 (2001)
28EESanghun Park, Kiyoung Choi: Performance-driven high-level synthesis with bit-level chaining andclock selection. IEEE Trans. on CAD of Integrated Circuits and Systems 20(2): 199-212 (2001)
2000
27EEByungil Jeong, Sungjoo Yoo, Sunghyun Lee, Kiyoung Choi: Hardware-software cosynthesis for run-time incrementally reconfigurable FPGAs. ASP-DAC 2000: 169-174
26EEYoungsoo Shin, Kiyoung Choi: Narrow bus encoding for low power systems. ASP-DAC 2000: 217-220
25EESungjoo Yoo, Kyoungseok Rha, Youngchul Cho, Jinyong Jung, Kiyoung Choi: Performance estimation of multiple-cache IP-based systems: case study of an interdependency problem and application of an extended shared memory model. CODES 2000: 77-81
24EEYoungsoo Shin, Daehong Kim, Kiyoung Choi: Schedulability-driven performance analysis of multiple mode embedded real-time systems. DAC 2000: 495-500
23EESungjoo Yoo, Jong-eun Lee, Jinyong Jung, Kyungseok Rha, Youngchul Cho, Kiyoung Choi: Fast Hardware-Software Coverification by Optimistic Execution of Real Processor. DATE 2000: 663-668
22 Youngsoo Shin, Kiyoung Choi, Takayasu Sakurai: Power Optimization of Real-Time Embedded Systems on Variable Speed Processors. ICCAD 2000: 365-368
21EEJunghwan Choi, Jinhwan Jeon, Kiyoung Choi: Power minimization of functional units partially guarded computation. ISLPED 2000: 131-136
20EEJae-Hee Won, Kiyoung Choi: Low power self-timed Radix-2 division (poster session). ISLPED 2000: 210-212
19EESungjoo Yoo, Kiyoung Choi, Dong Sam Ha: Performance improvement of geographically distributed cosimulation by hierarchically grouped messages. IEEE Trans. VLSI Syst. 8(5): 492-502 (2000)
1999
18EESungjoo Yoo, Kiyoung Choi: Optimizing geographically distributed timed cosimulation by hierarchically grouped messages. CODES 1999: 100-104
17EEYoungsoo Shin, Kiyoung Choi: Power Conscious Fixed Priority Scheduling for Hard Real-Time Systems. DAC 1999: 134-139
16EESanghun Park, Kiyoung Choi: Performance-Driven Scheduling with Bit-Level Chaining. DAC 1999: 286-291
15EEByungil Jeong, Sungjoo Yoo, Kiyoung Choi: Exploiting Early Partial Reconfiguration of Run-Time Reconfigurable FPGAs in Embedded Systems Design. FPGA 1999: 247
1998
14 Jinhwan Jeon, Kiyoung Choi: Loop Pipelining in Hardware-Software Partitioning. ASP-DAC 1998: 361-366
13EESungjoo Yoo, Kiyoung Choi: Optimistic distributed timed cosimulation based on thread simulation model. CODES 1998: 71-75
12EEYoungsoo Shin, Kiyoung Choi: Rate Assignment for Embedded Reactive Real-Time Systems. EUROMICRO 1998: 10237-
11EEYoungsoo Shin, Soo-Ik Chae, Kiyoung Choi: Partial bus-invert coding for power optimization of system level bus. ISLPED 1998: 127-129
1997
10EEYoungsoo Shin, Kiyoung Choi: Enforcing Schedulability of Multi-Task Systems by Hardware-Software Codesign. CODES 1997: 3-8
9EEDaehong Kim, Kiyoung Choi: Power-conscious High Level Synthesis Using Loop Folding. DAC 1997: 441-445
8EEDongwan Shin, Kiyoung Choi: Low power high level synthesis by increasing data correlation. ISLPED 1997: 62-67
1996
7EEYoungsoo Shin, Kiyoung Choi: Software synthesis through task decomposition by dependency analysis. ICCAD 1996: 98-104
6EEKiJong Lee, Kiyoung Choi: Self-timed divider based on RSD number system. IEEE Trans. VLSI Syst. 4(2): 292-295 (1996)
1995
5EEYongjoo Kim, Kyuseok Kim, Youngsoo Shin, Taekyoon Ahn, Wonyong Sung, Kiyoung Choi, Soonhoi Ha: An integrated hardware-software cosimulation environment for heterogeneous systems prototyping. ASP-DAC 1995
4 Yongjoo Kim, Youngsoo Shin, Kyuseok Kim, Jae-Hee Won, Kiyoung Choi: Efficient Prototyping System Based on Incremental Design and Module-by-Module Verification. ISCAS 1995: 924-927
1994
3 Kiyoung Choi, KiJong Lee, Jun-Woo Kang: A Self-Timed Divider Using RSD Number System. ICCD 1994: 504-507
1988
2EEKiyoung Choi, Sun Young Hwang, Tom Blank: Incremental-in-time Algorithm for Digital Simulation. DAC 1988: 501-505
1EESun Young Hwang, Tom Blank, Kiyoung Choi: Fast functional simulation: an incremental approach. IEEE Trans. on CAD of Integrated Circuits and Systems 7(7): 765-774 (1988)

Coauthor Index

1Minwook Ahn [54]
2Taekyoon Ahn [5]
3Yongjin Ahn [61] [67]
4V. K. Prasad Arava [68]
5Reinaldo A. Bergamaschi [56]
6Tom Blank [1] [2]
7Soo-Ik Chae [11] [30]
8Young-Hoon Chang [29]
9Youngchul Cho [23] [25] [44] [52] [59] [60]
10Junghwan Choi [21]
11Kyu-Myung Choi [55]
12Eui-Young Chung [55]
13Nikil D. Dutt (Nikil Dutt) [38] [40] [41] [42] [43] [45] [58] [64] [65]
14Xingguang Feng [55] [67]
15Krisztián Flautner [66]
16Dong Sam Ha [19]
17Soonhoi Ha [5] [64] [66]
18Keesung Han [67]
19Sun Young Hwang [1] [2]
20Jinhwan Jeon [14] [21] [32] [36]
21Byungil Jeong [15] [27]
22Ahmed Amine Jerraya [52] [59] [60]
23Manhwee Jo [68]
24Rajiv V. Joshi [49]
25Jinyong Jung [23] [25] [32] [33] [51] [57]
26Jun-Woo Kang [3]
27Mary Kiemb [46] [48] [51] [54] [57]
28Daehong Kim [9] [24] [31] [32] [36] [50]
29Jihong Kim [34]
30Kyuseok Kim [4] [5]
31Taewhan Kim [66]
32Whee Kuk Kim [47]
33Yongjoo Kim [4] [5]
34Yoonjin Kim [51] [53] [54] [57]
35Dongwook Lee [62] [63] [69]
36Ganghee Lee [44] [61] [67]
37HyoukJoong Lee [68]
38Imyong Lee [63]
39Jong-eun Lee [23] [38] [40] [42] [43] [45] [58] [65]
40KiJong Lee [3] [6]
41Seokhyun Lee [61]
42Sunghyun Lee [27] [32] [37] [39]
43Sungtaek Lim [34]
44Sang Lyul Min [66]
45Yunheung Paek [53] [54]
46Chulsoo Park [51] [57]
47Ilhyun Park [53]
48Sanghun Park [16] [28]
49Kyoungseok Rha [25] [35]
50Kyungseok Rha [23]
51Kaushik Roy [49]
52Takayasu Sakurai [22]
53Dongwan Shin [8] [31] [36] [50]
54Youngsoo Shin [4] [5] [7] [10] [11] [12] [17] [22] [24] [26] [29] [30]
55Hyunjik Song [67]
56Wonyong Sung [5]
57Jürgen Teich [64]
58Vivek Tiwari [49]
59Jae-Hee Won [4] [20]
60Byung-Ju Yi [47]
61Wang Yi [66]
62Jun-hee Yoo [55] [62] [67]
63Sungjoo Yoo [13] [15] [18] [19] [23] [25] [27] [33] [37] [39] [44] [52] [62] [69]
64Jonghee W. Yoon [54]
65Nacer-Eddine Zergainoh [44] [52] [59] [60]

Colors in the list of coauthors

Copyright © Sun May 17 03:24:02 2009 by Michael Ley (ley@uni-trier.de)