dblp.uni-trier.dewww.uni-trier.de

Antonio González

List of publications from the DBLP Bibliography Server - FAQ
Coauthor Index - Ask others: ACM DL/Guide - CiteSeer - CSB - Google - MSN - Yahoo
Home Page

2009
185EEAntonio González: Key Microarchitectural Innovations for Future Microprocessors. ARCS 2009: 2
2008
184EEQiong Cai, Josep M. Codina, José González, Antonio González: A software-hardware hybrid steering mechanism for clustered microarchitectures. IPDPS 2008: 1-12
183EEFernando Latorre, José González, Antonio González: Efficient resources assignment schemes for clustered multithreaded processors. IPDPS 2008: 1-12
182EEJosé González, Qiong Cai, Pedro Chaparro, Grigorios Magklis, Ryan Rakvic, Antonio González: Thread fusion. ISLPED 2008: 363-368
181EEQiong Cai, José González, Ryan Rakvic, Grigorios Magklis, Pedro Chaparro, Antonio González: Meeting points: using thread criticality to adapt multicore hardware to parallel regions. PACT 2008: 240-249
180EERoberto Therón, Antonio González, Francisco J. García: Supporting the understanding of the evolution of software items. SOFTVIS 2008: 189-192
179EEMatteo Monchiero, Ramon Canal, Antonio González: Power/Performance/Thermal Design-Space Exploration for Multicore Architectures. IEEE Trans. Parallel Distrib. Syst. 19(5): 666-681 (2008)
178EECarlos Madriles, Carlos García Quiñones, F. Jesús Sánchez, Pedro Marcuello, Antonio González, Dean M. Tullsen, Hong Wang, John Paul Shen: Mitosis: A Speculative Multithreaded Processor Based on Precomputation Slices. IEEE Trans. Parallel Distrib. Syst. 19(7): 914-925 (2008)
177EERafael Muñoz-Salinas, Eugenio Aguirre, Miguel García-Silvente, Antonio González: A multiple object tracking approach that combines colour and depth information using a confidence measure. Pattern Recognition Letters 29(10): 1504-1514 (2008)
2007
176EEAlex Aletà, Josep M. Codina, Antonio González, David R. Kaeli: Heterogeneous Clustered VLIW Microarchitectures. CGO 2007: 354-366
175EEJosep M. Codina, F. Jesús Sánchez, Antonio González: Virtual Cluster Scheduling Through the Scheduling Graph. CGO 2007: 89-101
174EEEduardo Quiñones, Joan-Manuel Parcerisa, Antonio González: Improving Branch Prediction and Predicated Execution in Out-of-Order Processors. HPCA 2007: 75-84
173EEAntonio González, Nicolás Marín, Olga Pons, María Amparo Vila Miranda: Qualification of Fuzzy Statements Under Fuzzy Certainty. IFSA (1) 2007: 162-170
172EERoberto Therón, Antonio González, Francisco J. García, Pablo Santos: The Use of Information Visualization to Support Software Configuration Management. INTERACT (2) 2007: 317-331
171EEJaume Abella, Xavier Vera, Osman S. Unsal, Oguz Ergin, Antonio González: Fuse: A Technique to Anticipate Failures due to Degradation in ALUs. IOLTS 2007: 15-22
170EEJaume Abella, Xavier Vera, Antonio González: Penelope: The NBTI-Aware Processor. MICRO 2007: 85-96
169EEEduardo Quiñones, Joan-Manuel Parcerisa, Antonio González: Early Register Release for Out-of-Order Processors with RegisterWindows. PACT 2007: 225-234
168EEMarc de la Asunción, Luis Castillo, Juan Fernández-Olivares, Óscar García-Pérez, Antonio González, Francisco Palao: Handling fuzzy temporal constraints in a planning environment. Annals OR 155(1): 391-415 (2007)
167EERonny Ronen, Antonio González: Guest Editors' Introduction: Micro's Top Picks from the Microarchitecture Conferences. IEEE Micro 27(1): 8-11 (2007)
166EEAntonio González, Scott A. Mahlke, Shubu Mukherjee, Resit Sendag, Derek Chiou, Joshua J. Yi: Reliability: Fallacy or Reality? IEEE Micro 27(6): 36-45 (2007)
165EEPedro Chaparro, José González, Grigorios Magklis, Qiong Cai, Antonio González: Understanding the Thermal Implications of Multi-Core Architectures. IEEE Trans. Parallel Distrib. Syst. 18(8): 1055-1065 (2007)
2006
164EEMatteo Monchiero, Ramon Canal, Antonio González: Design space exploration for multicore architectures: a power/performance/thermal view. ICS 2006: 177-186
163EEJaume Abella, Antonio González: Heterogeneous way-size cache. ICS 2006: 239-248
162EEEduardo Quiñones, Joan-Manuel Parcerisa, Antonio González: Selective predicate prediction for out-of-order processors. ICS 2006: 46-54
161EEOsman S. Unsal, Oguz Ergin, Xavier Vera, Antonio González: Empowering a helper cluster through data-width aware instruction selection policies. IPDPS 2006
160EEJaume Abella, Antonio González: SAMIE-LSQ: set-associative multiple-instruction entry load/store queue. IPDPS 2006
159EEGrigorios Magklis, Pedro Chaparro, José González, Antonio González: Independent front-end and back-end dynamic voltage scaling for a GALS microarchitecture. ISLPED 2006: 49-54
158 Rafael Muñoz-Salinas, Eugenio Aguirre, Miguel García-Silvente, Antonio González: Un Sistema Visual Difuso para la Detección de Interés en la Interacción Robot-Persona. Workshop de Agentes Físicos 2006: 49-56
157EEOguz Ergin, Osman S. Unsal, Xavier Vera, Antonio González: Exploiting Narrow Values for Soft Error Tolerance. Computer Architecture Letters 5(2): (2006)
156EEEnric Gibert, F. Jesús Sánchez, Antonio González: Instruction scheduling for a clustered VLIW processor with a word-interleaved cache. Concurrency and Computation: Practice and Experience 18(11): 1391-1411 (2006)
155EEOsman S. Unsal, James Tschanz, Keith A. Bowman, Vivek De, Xavier Vera, Antonio González, Oguz Ergin: Impact of Parameter Variations on Circuits and Microarchitecture. IEEE Micro 26(6): 30-39 (2006)
154EEJuan L. Aragón, José M. González, Antonio González: Control Speculation for Energy-Efficient Next-Generation Superscalar Processors. IEEE Trans. Computers 55(3): 281-291 (2006)
153EEAlex Settle, Dan Connors, Enric Gibert, Antonio González: A dynamically reconfigurable cache for multithreaded processors. J. Embedded Computing 2(2): 221-233 (2006)
2005
152EEChris M. Roadknight, Antonio González, Laura Parrot, Steve Boult, Ian W. Marshall: An Intelligent Sensor Network for Oceanographic Data Acquisition. ADHOC-NOW 2005: 235-243
151EERamon Canal, Antonio González, James E. Smith: Value Compression for Efficient Computation. Euro-Par 2005: 519-529
150EETimothy M. Jones, Michael F. P. O'Boyle, Jaume Abella, Antonio González: Software Directed Issue Queue Power Reduction. HPCA 2005: 144-153
149EEPedro Chaparro, Grigorios Magklis, José González, Antonio González: Distributing the Frontend for Temperature Reduction. HPCA 2005: 61-70
148EEStefan Bieschewski, Joan-Manuel Parcerisa, Antonio González: Memory Bank Predictors. ICCD 2005: 666-670
147EETimothy M. Jones, Michael F. P. O'Boyle, Jaume Abella, Antonio González, Oguz Ergin: Compiler Directed Early Register Release. IEEE PACT 2005: 110-122
146EEEnric Gibert, Jaume Abella, F. Jesús Sánchez, Xavier Vera, Antonio González: Variable-Based Multi-module Data Caches for Clustered VLIW Processors. IEEE PACT 2005: 207-217
145EEAlex Pajuelo, Antonio González, Mateo Valero: Control-Flow Independence Reuse via Dynamic Vectorization. IPDPS 2005
144EEJaume Abella, Antonio González: Inherently Workload-Balanced Clustered Microarchitecture. IPDPS 2005
143EERafael Muñoz-Salinas, Eugenio Aguirre, Miguel García-Silvente, Antonio González: People Detection and Tracking Through Stereo Vision for Human-Robot Interaction. MICAI 2005: 337-346
142 Carlos Madriles, Carlos García Quiñones, F. Jesús Sánchez, Pedro Marcuello, Antonio González: The Mitosis Speculative Multithreaded Architectures. PARCO 2005: 27-40
141EEAlex Aletà, Josep M. Codina, Antonio González, David R. Kaeli: Demystifying on-the-fly spill code. PLDI 2005: 180-189
140EECarlos García Quiñones, Carlos Madriles, F. Jesús Sánchez, Pedro Marcuello, Antonio González, Dean M. Tullsen: Mitosis compiler: an infrastructure for speculative threading based on pre-computation slices. PLDI 2005: 269-279
139EEXavier Vera, Jaume Abella, Josep Llosa, Antonio González: An accurate cost model for guiding data locality transformations. ACM Trans. Program. Lang. Syst. 27(5): 946-987 (2005)
138EEMarc de la Asunción, Luis A. Castillo, Juan Fernández-Olivares, Óscar García-Pérez, Antonio González, Francisco Palao: SIADEX: An interactive knowledge-based planner for decision support in forest fire fighting. AI Commun. 18(4): 257-268 (2005)
137EEEnric Gibert, F. Jesús Sánchez, Antonio González: Distributed Data Cache Designs for Clustered VLIW Processors. IEEE Trans. Computers 54(10): 1227-1241 (2005)
136EEJoan-Manuel Parcerisa, Julio Sahuquillo, Antonio González: On-Chip Interconnects and Instruction Steering Schemes for Clustered Microarchitectures. IEEE Trans. Parallel Distrib. Syst. 16(2): 130-144 (2005)
135EETeresa Monreal, Víctor Viñals, Antonio González, Mateo Valero: Hardware support for early register release. IJHPCN 3(2/3): 83-94 (2005)
134EEAlex Pajuelo, Antonio González, Mateo Valero: Speculative execution for hiding memory latency. SIGARCH Computer Architecture News 33(3): 49-56 (2005)
133EEJaume Abella, Antonio González, Xavier Vera, Michael F. P. O'Boyle: IATAC: a smart predictor to turn-off L2 cache lines. TACO 2(1): 55-77 (2005)
2004
132EERamon Canal, Antonio González, James E. Smith: Software-Controlled Operand-Gating. CGO 2004: 125-136
131EEJaume Abella, Antonio González: Low-Complexity Distributed Issue Queue. HPCA 2004: 73-83
130EEGrigorios Magklis, José González, Antonio González: Frontend Frequency-Voltage Adaptation for Optimal Energy-Delay^2. ICCD 2004: 250-255
129EEPedro Chaparro, José González, Antonio González: Thermal-Aware Clustered Microarchitectures. ICCD 2004: 48-53
128EEFernando Latorre, José González, Antonio González: Back-end assignment schemes for clustered multithreaded processors. ICS 2004: 316-325
127EEJosé González, Fernando Latorre, Antonio González: Cache organizations for clustered microarchitectures. WMPI 2004: 46-55
126EEXavier Vera, Nerina Bermudo, Josep Llosa, Antonio González: A fast and accurate framework to analyze and optimize cache memory behavior. ACM Trans. Program. Lang. Syst. 26(2): 263-300 (2004)
125EETeresa Monreal, Víctor Viñals, José González, Antonio González, Mateo Valero: Late Allocation and Early Release of Physical Registers. IEEE Trans. Computers 53(10): 1244-1259 (2004)
124EEPedro Marcuello, Antonio González, Jordi Tubella: Thread Partitioning and Value Prediction for Exploiting Speculative Thread-Level Parallelism. IEEE Trans. Computers 53(2): 114-125 (2004)
123EEAlex Aletà, Josep M. Codina, Antonio González, David R. Kaeli: Removing communications in clustered microarchitectures through instruction replication. TACO 1(2): 127-151 (2004)
2003
122 Utpal Banerjee, Kyle Gallivan, Antonio González: Proceedings of the 17th Annual International Conference on Supercomputing, ICS 2003, San Francisco, CA, USA, June 23-26, 2003 ACM 2003
121EEEnric Gibert, F. Jesús Sánchez, Antonio González: Local Scheduling Techniques for Memory Coherence in a Clustered VLIW Processor with a Distributed Data Cache. CGO 2003: 193-203
120EEBrian Carse, Anthony G. Pipe, Ingo Renners, Adolf Grauel, Antonio F. Gómez-Skarmeta, Fernando Jiménez, Gracia Sánchez, Oscar Cordón, Francisco Herrera, Fernando A. C. Gomide, Igor Walter, Antonio González, Raúl Pérez: Current issues and future directions in evolutionary fuzzy systems research. EUSFLAT Conf. 2003: 81-87
119EEEugenio Aguirre, Antonio González, Raúl Pérez: An inductive approach for learning fuzzy relation rules. EUSFLAT Conf. 2003: 88-93
118EECarles Aliagas, Carlos Molina, Montse Garcia, Antonio González, Jordi Tubella: Value Compression to Reduce Power in Data Caches. Euro-Par 2003: 616-622
117EEJuan L. Aragón, José González, Antonio González: Power-Aware Control Speculation through Selective Throttling. HPCA 2003: 103-112
116EEJaume Abella, Antonio González: Power-Aware Adaptive Issue Queue and Register File. HiPC 2003: 34-43
115EEJaume Abella, Antonio González: On Reducing Register Pressure and Energy in Multiple-Banked Register Files. ICCD 2003: 14-20
114EEJosé González, Antonio González: Dynamic Cluster Resizing. ICCD 2003: 375-
113EEJaume Abella, Antonio González: Power Efficient Data Cache Designs. ICCD 2003: 8-13
112EEXavier Vera, Jaume Abella, Antonio González, Josep Llosa: Optimizing Program Locality Through CMEs and GAs. IEEE PACT 2003: 68-78
111EECarlos Molina, Carles Aliagas, Montse Garcia, Antonio González, Jordi Tubella: Non redundant data cache. ISLPED 2003: 274-277
110EELuis A. Castillo, Juan Fernández-Olivares, Antonio González: Some Issues about the Representation and Exploitation of Imprecise Temporal Knowledge for an AI Planner. KES 2003: 1321-1328
109EEEnric Gibert, F. Jesús Sánchez, Antonio González: Flexible Compiler-Managed L0 Buffers for Clustered VLIW Processors. MICRO 2003: 315-325
108EEAlex Aletà, Josep M. Codina, Antonio González, David R. Kaeli: Instruction Replication for Clustered Microarchitectures. MICRO 2003: 326-338
107EETor M. Aamodt, Pedro Marcuello, Paul Chow, Antonio González, Per Hammarlund, Hong Wang, John Paul Shen: A framework for modeling and optimization of prescient instruction prefetch. SIGMETRICS 2003: 13-24
106 Eugenio Aguirre, Antonio González: A Fuzzy Perceptual Model for Ultrasound Sensors Applied to Intelligent Navigation of Mobile Robots. Appl. Intell. 19(3): 171-187 (2003)
105EEJaume Abella, Ramon Canal, Antonio González: Power- and Complexity-Aware Issue Queue Designs. IEEE Micro 23(5): 50-58 (2003)
2002
104EEPedro Marcuello, Antonio González: Thread-Spawning Schemes for Speculative Multithreading. HPCA 2002: 55-64
103EECarlos Molina, Antonio González, Jordi Tubella: Trace-Level Speculative Multithreaded Architecture. ICCD 2002: 402-407
102EETeresa Monreal, Víctor Viñals, Antonio González, Mateo Valero: Hardware Schemes for Early Register Release. ICPP 2002: 5-13
101EEJaume Abella, Antonio González, Josep Llosa, Xavier Vera: Near-Optimal Loop Tiling by Means of Cache Miss Equations and Genetic Algorithms. ICPP Workshops 2002: 568-580
100EEEnric Gibert, F. Jesús Sánchez, Antonio González: An interleaved cache clustered VLIW processor. ICS 2002: 210-219
99EEJuan L. Aragón, José González, Antonio González, James E. Smith: Dual path instruction processing. ICS 2002: 220-229
98EEJosep M. Codina, Josep Llosa, Antonio González: A comparative study of modulo scheduling techniques. ICS 2002: 97-106
97EEAlex Aletà, Josep M. Codina, F. Jesús Sánchez, Antonio González, David R. Kaeli: Exploiting Pseudo-Schedules to Guide Data Dependence Graph Partitioning. IEEE PACT 2002: 281-290
96EEJoan-Manuel Parcerisa, Julio Sahuquillo, Antonio González, José Duato: Efficient Interconnects for Clustered Microarchitectures. IEEE PACT 2002: 291-
95EEAlex Pajuelo, Antonio González, Mateo Valero: Speculative Dynamic Vectorization. ISCA 2002: 271-280
94 Mario Marrero, Celso Perdomo, Jorge Rodríguez, Antonio González: Collaborative Work Tools in Learning Environments. Information Technology in Educational Management 2002: 129-138
93EEXavier Vera, Josep Llosa, Antonio González: Near-Optimal Padding for Removing Conflict Misses. LCPC 2002: 329-343
92EEEnric Gibert, F. Jesús Sánchez, Antonio González: Effective instruction scheduling techniques for an interleaved cache clustered VLIW processor. MICRO 2002: 123-133
91EELuis Díaz de Cerio, Miguel Valero-García, Antonio González: Hypercube Algorithms on Mesh Connected Multicomputers. IEEE Trans. Parallel Distrib. Syst. 13(12): 1247-1260 (2002)
90 Eugenio Aguirre, Antonio González: Integrating fuzzy topological maps and fuzzy geometric maps for behavior-based robots. Int. J. Intell. Syst. 17(3): 333-368 (2002)
89 Olga Pons, Juan C. Cubero, Antonio González, María Amparo Vila Miranda: Uncertain fuzzy values still in the framework of first-order logi. Int. J. Intell. Syst. 17(9): 873-886 (2002)
88EERajagopalan Desikan, Doug Burger, Stephen W. Keckler, Llorenc Cruz, Fernando Latorre, Antonio González, Mateo Valero: Errata on "Measuring Experimental Error in Microprocessor Simulation". SIGARCH Computer Architecture News 30(1): 2-4 (2002)
2001
87EEJuan L. Aragón, José González, José M. García, Antonio González: Confidence Estimation for Branch Prediction Reversal. HiPC 2001: 214-223
86 Juan L. Aragón, José González, José M. García, Antonio González: Selective Branch Prediction Reversal By Correlating with Data Values and Control Flow. ICCD 2001: 228-233
85EERamon Canal, Antonio González: Reducing the complexity of the issue logic. ICS 2001: 312-320
84EEJosep M. Codina, F. Jesús Sánchez, Antonio González: A Unified Modulo Scheduling and Register Allocation Technique for Clustered Processors. IEEE PACT 2001: 175-184
83EEDaniele Folegnani, Antonio González: Energy-effective issue logic. ISCA 2001: 230-239
82EEAlex Aletà, Josep M. Codina, F. Jesús Sánchez, Antonio González: Graph-partitioning based instruction scheduling for clustered processors. MICRO 2001: 150-159
81EELuis Díaz de Cerio, Miguel Valero-García, Antonio González, Dolors Royo: CALMANT: Un Método Sistemático para la Ejecución de Algoritmos Hipercubo en Sistemas Multiprocesador. Computación y Sistemas 4(4): 289-297 (2001)
80EELuis Díaz de Cerio, Miguel Valero-García, Antonio González, Dolors Royo: CALMANT: A Systematic Method for the Execution of Hypercube Algorithms in Multiprocessor Systems. Computación y Sistemas 4(4): 298-305 (2001)
79EELuis A. Castillo, Antonio González, Raúl Pérez: Including a simplicity criterion in the selection of the best rule in a genetic fuzzy learning algorithm. Fuzzy Sets and Systems 120(2): 309-321 (2001)
78EEJoan-Manuel Parcerisa, Antonio González: Improving Latency Tolerance of Multithreading through Decoupling. IEEE Trans. Computers 50(10): 1084-1094 (2001)
77EEJosé González, Antonio González: Control-Flow Speculation through Value Prediction. IEEE Trans. Computers 50(12): 1362-1376 (2001)
76EEJosep Llosa, Eduard Ayguadé, Antonio González, Mateo Valero, Jason Eckhardt: Lifetime-Sensitive Modulo Scheduling in a Production Environment. IEEE Trans. Computers 50(3): 234-249 (2001)
75 Antonio González, Raúl Pérez: An experimental study about the search mechanism in the SLAVE learning algorithm: Hill-climbing methods versus genetic algorithms. Inf. Sci. 136(1-4): 159-174 (2001)
74 Ramon Canal, Joan-Manuel Parcerisa, Antonio González: Dynamic Code Partitioning for Clustered Architectures. International Journal of Parallel Programming 29(1): 59-79 (2001)
73 Luis A. Castillo, Juan Fernández-Olivares, Antonio González: Mixing expressiveness and efficiency in a manufacturing planner. J. Exp. Theor. Artif. Intell. 13(2): 141-162 (2001)
72EEF. Jesús Sánchez, Antonio González: Clustered Modulo Scheduling in a VLIW Architecture with Distributed Cache . J. Instruction-Level Parallelism 3: (2001)
71 Dolors Royo, Miguel Valero-García, Antonio González: Implementing the one-sided Jacobi method on a 2D/3D mesh multicomputer. Parallel Computing 27(9): 1253-1271 (2001)
2000
70EEXavier Vera, Josep Llosa, Antonio González, Nerina Bermudo: A Fast and Accurate Approach to Analyze Cache Memory Behavior (Research Note). Euro-Par 2000: 194-198
69EELuis Díaz de Cerio, Miguel Valero-García, Antonio González: Complete Exchange Algorithms for Meshes and Tori Using a Systematic Approach (Research Note). Euro-Par 2000: 591-594
68EERamon Canal, Joan-Manuel Parcerisa, Antonio González: Dynamic Cluster Assignment Mechanisms. HPCA 2000: 133-
67EEF. Jesús Sánchez, Antonio González: The Effectiveness of Loop Unrolling for Modulo Scheduling in Clustered VLIW Architectures. ICPP 2000: 555-
66EERamon Canal, Antonio González: A low-complexity issue logic. ICS 2000: 327-335
65EEPedro Marcuello, Antonio González: A Quantitative Assessment of Thread-Level Speculation Techniques. IPDPS 2000: 595-
64EEJosé-Lorenzo Cruz, Antonio González, Mateo Valero, Nigel P. Topham: Multiple-banked register file architectures. ISCA 2000: 316-325
63EEF. Jesús Sánchez, Antonio González: Instruction Scheduling for Clustered VLIW Architectures. ISSS 2000: 41-46
62EEF. Jesús Sánchez, Antonio González: Modulo scheduling for a fully-distributed clustered VLIW architecture. MICRO 2000: 124-133
61EERamon Canal, Antonio González, James E. Smith: Very low power pipelines using significance compression. MICRO 2000: 181-190
60EEJoan-Manuel Parcerisa, Antonio González: Reducing wire delay penalty through value prediction. MICRO 2000: 317-326
59EELuis A. Castillo, Juan Fernández-Olivares, Antonio González: A hybrid hierarchical operator-based planning approach for the design of control programs. PuK 2000
58EELuis A. Castillo, Juan Fernández-Olivares, Antonio González: Automatic generation of control sequences for manufacturing systems based on partial order planning techniques. AI in Engineering 14(1): 15-30 (2000)
57EEF. Jesús Sánchez, Antonio González: Analyzing Data Locality in Numeric Applications. IEEE Micro 20(4): 58-66 (2000)
56EEEugenio Aguirre, Antonio González: Fuzzy behaviors for mobile robot navigation: design, coordination and fusion. Int. J. Approx. Reasoning 25(3): 255-289 (2000)
55EETeresa Monreal, Antonio González, Mateo Valero, José González, Víctor Viñals: Dynamic Register Renaming Through Virtual-Physical Registers. J. Instruction-Level Parallelism 2: (2000)
1999
54EEJoan-Manuel Parcerisa, Antonio González: The Synergy of Multithreading and Access/Execute Decoupling. HPCA 1999: 59-63
53 Carlos Molina, Antonio González, Jordi Tubella: Reducing Memory Traffic Via Redundant Store Instructions. HPCN Europe 1999: 1246-1249
52 Pedro Marcuello, Antonio González: Exploiting Speculative Thread-Level Parallelism on a SMT Processor. HPCN Europe 1999: 754-763
51EEAntonio González, Jordi Tubella, Carlos Molina: Trace-Level Reuse. ICPP 1999: 30-
50EERamon Canal, Joan-Manuel Parcerisa, Antonio González: A Cost-Effective Clustered Architecture. IEEE PACT 1999: 160-168
49EEJosé González, Antonio González: Control-Flow Speculation through Value Prediction for Superscalar Processors. IEEE PACT 1999: 57-65
48EEPedro Marcuello, Antonio González: Clustered speculative multithreaded processors. International Conference on Supercomputing 1999: 365-372
47EECarlos Molina, Antonio González, Jordi Tubella: Dynamic removal of redundant computations. International Conference on Supercomputing 1999: 474-481
46EEF. Jesús Sánchez, Antonio González: A locality sensitive multi-module cache with explicit management. International Conference on Supercomputing 1999: 51-59
45EETeresa Monreal, Antonio González, Mateo Valero, José González, Víctor Viñals: Delaying Physical Register Allocation through Virtual-Physical Registers. MICRO 1999: 186-
44EEPedro Marcuello, Jordi Tubella, Antonio González: Value Prediction for Speculative Multithreaded Architectures. MICRO 1999: 230-
43EENigel P. Topham, Antonio González: Randomized Cache Placement for Eliminating Conflicts. IEEE Trans. Computers 48(2): 185-192 (1999)
42EEAntonio González, Olga Pons, María Amparo Vila Miranda: Dealing with uncertainty and imprecision by means of fuzzy numbers. Int. J. Approx. Reasoning 21(3): 233-256 (1999)
41EELuis A. Castillo, Juan Fernández-Olivares, Antonio González: Un algoritmo de planificación no lineal para la generación automáticade programas industriales. Inteligencia Artificial, Revista Iberoamericana de Inteligencia Artificial 7: 40-53 (1999)
40 F. Jesús Sánchez, Antonio González: Software Data Prefetching for Software Pipelined Loops. J. Parallel Distrib. Comput. 58(2): 236-259 (1999)
39EEDolors Royo, Antonio González, Miguel Valero-García: Low Communication Overhead Jacobi Algorithms for Eigenvalues Computation on Hypercubes. The Journal of Supercomputing 14(2): 171-193 (1999)
1998
38EEJoan-Manuel Parcerisa, Antonio González: The Latency Hiding Effectiveness of Decoupled Access/Execute Processors. EUROMICRO 1998: 10293-10300
37EEPedro Marcuello, Antonio González: Data Speculative Multithreaded Architecture. EUROMICRO 1998: 10321-10324
36EEMiguel Valero-García, Antonio González, Luis Díaz de Cerio, Dolors Royo: Divide-and-Conquer Algorithms on Two-Dimensional Meshes. Euro-Par 1998: 1051-1056
35EEJordi Tubella, Antonio González: Control Speculation in Multithreaded Processors through Dynamic Loop Detection. HPCA 1998: 14-23
34EEAntonio González, José González, Mateo Valero: Virtual-Physical Registers. HPCA 1998: 175-184
33EELuis A. Castillo, Antonio González: A Nonlinear Planner for Solving Sequential Control Problems in Manufacturing Systems. IBERAMIA 1998: 409-420
32EEF. Jesús Sánchez, Antonio González: Fast, Accurate and Flexible Data Locality Analysis. IEEE PACT 1998: 124-129
31EEDolors Royo, Antonio González, Miguel Valero-García: Jacobi Orderings for Multi-Port Hypercubes. IPPS/SPDP 1998: 88-97
30EEJosé González, Antonio González: The Potential of Data Value Speculation to Boost ILP. International Conference on Supercomputing 1998: 21-28
29EEPedro Marcuello, Antonio González, Jordi Tubella: Speculative Multithreaded Processors. International Conference on Supercomputing 1998: 77-84
28 José González, Antonio González: Limits of Instruction Level Parallelism with Data Value Speculation. VECPAR 1998: 452-465
27 Josep Llosa, Mateo Valero, Eduard Ayguadé, Antonio González: Modulo Scheduling with Reduced Register Pressure. IEEE Trans. Computers 47(6): 625-638 (1998)
26EEAntonio González, Raúl Pérez: A fuzzy theory refinement algorithm. Int. J. Approx. Reasoning 19(3-4): 193-220 (1998)
25 Luis Díaz de Cerio, Miguel Valero-García, Antonio González: A Method for Exploiting Communication/Computation Overlap in Hypercubes. Parallel Computing 24(2): 221-245 (1998)
1997
24EEDolors Royo, Miguel Valero-García, Antonio González, Carme Mari: A Methodology for User-Oriented Scalability Analysis. ASAP 1997: 304-315
23 José González, Antonio González: Memory Address Prediction for Data Speculation. Euro-Par 1997: 1084-1091
22 Antonio Martínez, Fracisco Fraile, Jordi Mallorquí, Leonardo Nogueira, Jordi Gabaldá, Antoni Broquetas, Antonio González: PARSAR: Parallelisation of a Chirp Scaling Algorithm SAR Processor. Euro-Par 1997: 1346-1350
21EEF. Jesús Sánchez, Antonio González, Mateo Valero: Static Locality Analysis for Cache Management. IEEE PACT 1997: 261-271
20EEJosé González, Antonio González: Speculative Execution via Address Prediction and Data Prefetching. International Conference on Supercomputing 1997: 196-203
19EEAntonio González, Mateo Valero, Nigel P. Topham, Joan-Manuel Parcerisa: Eliminating Cache Conflict Misses through XOR-Based Placement Functions. International Conference on Supercomputing 1997: 76-83
18EEF. Jesús Sánchez, Antonio González: Cache Sensitive Modulo Scheduling. MICRO 1997: 338-348
17EENigel P. Topham, Antonio González, José González: The Design and Performance of a Conflict-Avoiding Cache. MICRO 1997: 71-80
1996
16 Luis Díaz de Cerio, Miguel Valero-García, Antonio González: Overlapping Communication and Computation in Hypercubes. Euro-Par, Vol. I 1996: 253-257
15 Jordi Tubella, Antonio González, E. Elias: The Multipath Architecture for Prolog Programs. Comput. J. 39(9): 780-792 (1996)
1995
14EEAntonio González, Carlos Aliagas, Mateo Valero: A Data Cache with Multiple Caching Strategies Tuned to Different Types of Locality. International Conference on Supercomputing 1995: 338-347
13EEJosep Llosa, Mateo Valero, Eduard Ayguadé, Antonio González: Hypernode reduction modulo scheduling. MICRO 1995: 350-360
12 Enric Fontdecaba, Antonio González, Jesús Labarta: Load Balancing in a Network Flow Optimization Code. PARA 1995: 214-222
11EEJordi Tubella, Antonio González: Exploiting path parallelism in logic programming. PDP 1995: 164-173
10EEAntonio González, Miguel Valero-García, Luis Díaz de Cerio: Executing Algorithms with Hypercube Topology on Torus Multicomputers. IEEE Trans. Parallel Distrib. Syst. 6(8): 803-814 (1995)
1994
9 Jordi Tubella, Antonio González: Combining depth-first and breadth-first search in Prolog execution. GULP-PRODE (2) 1994: 452-453
8 Jordi Tubella, Antonio González: A Partial Breadth-First Execution Model for Prolog. ICTAI 1994: 129-137
7EELourdes Campos, Antonio González: Further contributions to the study of the average value for ranking fuzzy numbers. Int. J. Approx. Reasoning 10(2): 135-153 (1994)
1993
6EELuis M. de Campos, Antonio González: A fuzzy inference model based on an uncertainty forward propagation approach. Int. J. Approx. Reasoning 9(2): 139-164 (1993)
1992
5EEAntonio González, María Amparo Vila Miranda: Dominance relations on fuzzy numbers. Inf. Sci. 64(1-2): 1-16 (1992)
1991
4 Silvia Acid, Luis M. de Campos, Antonio González, Rafael Molina, Nicolas Pérez de la Blanca: Learning with CASTLE. ECSQARU 1991: 99-106
3EEAntonio González, María Amparo Vila Miranda: A discrete method for studying indifference and order relations between fuzzy numbers. Inf. Sci. 56(1-3): 245-258 (1991)
1990
2 Antonio González, María Amparo Vila Miranda: An Interval-Based Approach for Working With Fuzzy Numbers. IPMU 1990: 193-202
1989
1EEAntonio González, José M. Llabería: Instruction fetch unit for parallel execution of branch instructions. ICS 1989: 417-426

Coauthor Index

1Tor M. Aamodt [107]
2Jaume Abella [101] [105] [112] [113] [115] [116] [131] [133] [139] [144] [146] [147] [150] [160] [163] [170] [171]
3Silvia Acid [4]
4Eugenio Aguirre [56] [90] [106] [119] [143] [158] [177]
5Alex Aletà [82] [97] [108] [123] [141] [176]
6Carles Aliagas [111] [118]
7Carlos Aliagas [14]
8Juan L. Aragón [86] [87] [99] [117] [154]
9Marc de la Asunción [138] [168]
10Eduard Ayguadé [13] [27] [76]
11Utpal Banerjee [122]
12Nerina Bermudo [70] [126]
13Stefan Bieschewski [148]
14Nicolas Pérez de la Blanca [4]
15Steve Boult [152]
16Keith A. Bowman [155]
17Antoni Broquetas [22]
18Doug Burger [88]
19Qiong Cai [165] [181] [182] [184]
20Lourdes Campos [7]
21Luis M. de Campos [4] [6]
22Ramon Canal [50] [61] [66] [68] [74] [85] [105] [132] [151] [164] [179]
23Brian Carse [120]
24Luis Castillo [168]
25Luis A. Castillo [33] [41] [58] [59] [73] [79] [110] [138]
26Luis Díaz de Cerio [10] [16] [25] [36] [69] [80] [81] [91]
27Pedro Chaparro [129] [149] [159] [165] [181] [182]
28Derek Chiou [166]
29Paul Chow [107]
30Josep M. Codina [82] [84] [97] [98] [108] [123] [141] [175] [176] [184]
31Daniel A. Connors (Dan Connors) [153]
32Oscar Cordón [120]
33José-Lorenzo Cruz [64]
34Llorenc Cruz [88]
35Juan C. Cubero (Juan Carlos Cubero Talavera) [89]
36Vivek De [155]
37Rajagopalan Desikan [88]
38José Duato [96]
39Jason Eckhardt [76]
40E. Elias [15]
41Oguz Ergin [147] [155] [157] [161] [171]
42Juan Fernández-Olivares [41] [58] [59] [73] [110] [138] [168]
43Daniele Folegnani [83]
44Enric Fontdecaba [12]
45Fracisco Fraile [22]
46Jordi Gabaldá [22]
47Kyle A. Gallivan (Kyle Gallivan) [122]
48Francisco J. García [172] [180]
49José M. García [86] [87]
50Montse Garcia [111] [118]
51Óscar García-Pérez [138] [168]
52Miguel García-Silvente [143] [158] [177]
53Enric Gibert [92] [100] [109] [121] [137] [146] [153] [156]
54Antonio F. Gómez-Skarmeta (Antonio Fernandez Gómez-Skarmeta) [120]
55Fernando A. C. Gomide [120]
56José González [17] [20] [23] [28] [30] [34] [45] [49] [55] [77] [86] [87] [99] [114] [117] [125] [127] [128] [129] [130] [149] [159] [165] [181] [182] [183] [184]
57José M. González [154]
58Adolf Grauel [120]
59Per Hammarlund [107]
60Francisco Herrera [120]
61Fernando Jiménez [120]
62Timothy M. Jones [147] [150]
63David R. Kaeli [97] [108] [123] [141] [176]
64Stephen W. Keckler [88]
65Jesús Labarta [12]
66Fernando Latorre [88] [127] [128] [183]
67José María Llabería (José M. Llabería) [1]
68Josep Llosa [13] [27] [70] [76] [93] [98] [101] [112] [126] [139]
69Carlos Madriles [140] [142] [178]
70Grigorios Magklis [130] [149] [159] [165] [181] [182]
71Scott A. Mahlke [166]
72Jordi Mallorquí [22]
73Pedro Marcuello [29] [37] [44] [48] [52] [65] [104] [107] [124] [140] [142] [178]
74Carme Mari [24]
75Nicolás Marín [173]
76Mario Marrero [94]
77Ian W. Marshall [152]
78Antonio Martínez [22]
79María Amparo Vila Miranda [2] [3] [5] [42] [89] [173]
80Carlos Molina [47] [51] [53] [103] [111] [118]
81Rafael Molina [4]
82Matteo Monchiero [164] [179]
83Teresa Monreal [45] [55] [102] [125] [135]
84Shubu Mukherjee [166]
85Rafael Muñoz-Salinas [143] [158] [177]
86Leonardo Nogueira [22]
87Michael F. P. O'Boyle [133] [147] [150]
88Alex Pajuelo [95] [134] [145]
89Francisco Palao [138] [168]
90Joan-Manuel Parcerisa [19] [38] [50] [54] [60] [68] [74] [78] [96] [136] [148] [162] [169] [174]
91Laura Parrot [152]
92Celso Perdomo [94]
93Raúl Pérez [26] [75] [79] [119] [120]
94Anthony G. Pipe [120]
95Olga Pons [42] [89] [173]
96Carlos García Quiñones [140] [142] [178]
97Eduardo Quiñones [162] [169] [174]
98Ryan Rakvic [181] [182]
99Ingo Renners [120]
100Chris M. Roadknight [152]
101Jorge Rodríguez [94]
102Ronny Ronen [167]
103Dolors Royo [24] [31] [36] [39] [71] [80] [81]
104Julio Sahuquillo [96] [136]
105F. Jesús Sánchez [18] [21] [32] [40] [46] [57] [62] [63] [67] [72] [82] [84] [92] [97] [100] [109] [121] [137] [140] [142] [146] [156] [175] [178]
106Gracia Sánchez [120]
107Pablo Santos [172]
108Resit Sendag [166]
109Alex Settle [153]
110John Paul Shen [107] [178]
111James E. Smith [61] [99] [132] [151]
112Roberto Therón [172] [180]
113Nigel P. Topham [17] [19] [43] [64]
114James Tschanz [155]
115Jordi Tubella [8] [9] [11] [15] [29] [35] [44] [47] [51] [53] [103] [111] [118] [124]
116Dean M. Tullsen [140] [178]
117Osman S. Unsal [155] [157] [161] [171]
118Mateo Valero [13] [14] [19] [21] [27] [34] [45] [55] [64] [76] [88] [95] [102] [125] [134] [135] [145]
119Miguel Valero-García [10] [16] [24] [25] [31] [36] [39] [69] [71] [80] [81] [91]
120Xavier Vera [70] [93] [101] [112] [126] [133] [139] [146] [155] [157] [161] [170] [171]
121Víctor Viñals [45] [55] [102] [125] [135]
122Igor Walter [120]
123Hong Wang [107] [178]
124Joshua J. Yi [166]

Colors in the list of coauthors

Copyright © Sun May 17 03:24:02 2009 by Michael Ley (ley@uni-trier.de)