dblp.uni-trier.dewww.uni-trier.de

Marcus T. Schmitz

List of publications from the DBLP Bibliography Server - FAQ
Coauthor Index - Ask others: ACM DL/Guide - CiteSeer - CSB - Google - MSN - Yahoo

2007
15EEYuan Cai, Marcus T. Schmitz, Bashir M. Al-Hashimi, Sudhakar M. Reddy: Workload-ahead-driven online energy minimization techniques for battery-powered embedded systems with time-constraints. ACM Trans. Design Autom. Electr. Syst. 12(1): (2007)
14EEAlexandru Andrei, Petru Eles, Zebo Peng, Marcus T. Schmitz, Bashir M. Al-Hashimi: Energy Optimization of Multiprocessor Systems on Chip by Voltage Selection. IEEE Trans. VLSI Syst. 15(3): 262-275 (2007)
2006
13EEDong Wu, Bashir M. Al-Hashimi, Marcus T. Schmitz: Improving routing efficiency for network-on-chip through contention-aware input selection. ASP-DAC 2006: 36-41
12EEYuan Cai, Marcus T. Schmitz, Alireza Ejlali, Bashir M. Al-Hashimi, Sudhakar M. Reddy: Cache size selection for performance, energy and reliability of time-constrained systems. ASP-DAC 2006: 923-928
11EEAlireza Ejlali, Bashir M. Al-Hashimi, Marcus T. Schmitz, Paul M. Rosinger, Seyed Ghassem Miremadi: Combined time and information redundancy for SEU-tolerance in energy-efficient real-time systems. IEEE Trans. VLSI Syst. 14(4): 323-335 (2006)
2005
10EEAlexandru Andrei, Marcus T. Schmitz, Petru Eles, Zebo Peng, Bashir M. Al-Hashimi: Quasi-Static Voltage Scaling for Energy Minimization with Time Constraints. DATE 2005: 514-519
9EEDong Wu, Bashir M. Al-Hashimi, Marcus T. Schmitz, Petru Eles: Power-Composition Profile Driven Co-Synthesis with Power Management Selection for Dynamic and Leakage Energy Reduction. DSD 2005: 34-41
8EEAlireza Ejlali, Marcus T. Schmitz, Bashir M. Al-Hashimi, Seyed Ghassem Miremadi, Paul M. Rosinger: Energy efficient SEU-tolerance in DVS-enabled real-time systems through information redundancy. ISLPED 2005: 281-286
7EEMarcus T. Schmitz, Bashir M. Al-Hashimi, Petru Eles: Cosynthesis of energy-efficient multimode embedded systems with consideration of mode-execution probabilities. IEEE Trans. on CAD of Integrated Circuits and Systems 24(2): 153-169 (2005)
2004
6EEAlexandru Andrei, Marcus T. Schmitz, Petru Eles, Zebo Peng, Bashir M. Al-Hashimi: Overhead-Conscious Voltage Selection for Dynamic and Leakage Energy Reduction of Time-Constrained Systems. DATE 2004: 518-525
5EEAlexandru Andrei, Marcus T. Schmitz, Petru Eles, Zebo Peng, Bashir M. Al-Hashimi: Simultaneous communication and processor voltage scaling for dynamic and leakage energy reduction in time-constrained systems. ICCAD 2004: 362-369
4EEMarcus T. Schmitz, Bashir M. Al-Hashimi, Petru Eles: Iterative schedule optimization for voltage scalable distributed embedded systems. ACM Trans. Embedded Comput. Syst. 3(1): 182-217 (2004)
2003
3EEMarcus T. Schmitz, Bashir M. Al-Hashimi, Petru Eles: A Co-Design Methodology for Energy-Efficient Multi-Mode Embedded Systems with Consideration of Mode Execution Probabilities. DATE 2003: 10960-10965
2002
2EEMarcus T. Schmitz, Bashir M. Al-Hashimi, Petru Eles: Energy-Efficient Mapping and Scheduling for DVS Enabled Distributed Embedded Systems. DATE 2002: 514-521
2001
1 Marcus T. Schmitz, Bashir M. Al-Hashimi: Considering power variations of DVS processing elements for energy minimisation in distributed systems. ISSS 2001: 250-255

Coauthor Index

1Bashir M. Al-Hashimi [1] [2] [3] [4] [5] [6] [7] [8] [9] [10] [11] [12] [13] [14] [15]
2Alexandru Andrei [5] [6] [10] [14]
3Yuan Cai [12] [15]
4Alireza Ejlali (Ali Reza Ejlali) [8] [11] [12]
5Petru Eles [2] [3] [4] [5] [6] [7] [9] [10] [14]
6Seyed Ghassem Miremadi [8] [11]
7Zebo Peng [5] [6] [10] [14]
8Sudhakar M. Reddy [12] [15]
9Paul M. Rosinger [8] [11]
10Dong Wu [9] [13]

Copyright © Sun May 17 03:24:02 2009 by Michael Ley (ley@uni-trier.de)