dblp.uni-trier.dewww.uni-trier.de

Kees G. W. Goossens

Kees Goossens

List of publications from the DBLP Bibliography Server - FAQ
Coauthor Index - Ask others: ACM DL/Guide - CiteSeer - CSB - Google - MSN - Yahoo
Home Page

2009
40EEAndreas Hansson, Kees Goossens, Marco Bekooij, Jos Huisken: CoMPSoC: A template for composable and predictable multi-processor system on chips. ACM Trans. Design Autom. Electr. Syst. 14(1): (2009)
2008
39EEMiron Abramovici, Kees Goossens, Bart Vermeulen, Jack Greenbaum, Neal Stollon, Adam Donlin: You can catch more bugs with transaction level honey. CODES+ISSS 2008: 121-124
38EEAndreas Hansson, Maarten Wiggers, Arno Moonen, Kees Goossens, Marco Bekooij: Applying Dataflow Analysis to Dimension Buffers for Guaranteed Performance in Networks on Chip. NOCS 2008: 211-212
37EEBart Vermeulen, Kees Goossens, Siddharth Umrani: Debugging Distributed-Shared-Memory Communication at Multiple Granularities in Networks on Chip. NOCS 2008: 3-12
36EEKees Goossens, Martijn T. Bennebroek, Jae Young Hur, Muhammad Aqeel Wahlah: Hardwired Networks on Chip in FPGAs to Unify Functional and Con?guration Interconnects. NOCS 2008: 45-54
35EEBenny Akesson, Liesbeth Steffens, Eelke Strooisma, Kees G. W. Goossens: Real-Time Scheduling Using Credit-Controlled Static-Priority Arbitration. RTCSA 2008: 3-14
34EECalin Ciordas, Andreas Hansson, Kees Goossens, Twan Basten: A monitoring-aware network-on-chip design flow. Journal of Systems Architecture - Embedded Systems Design 54(3-4): 397-410 (2008)
2007
33EEAndreas Hansson, Martijn Coenen, Kees Goossens: Channel trees: reducing latency by sharing time slots in time-multiplexed networks on chip. CODES+ISSS 2007: 149-154
32EEBenny Akesson, Kees Goossens, Markus Ringhofer: Predator: a predictable SDRAM memory controller. CODES+ISSS 2007: 251-256
31EEJan Willem van den Brand, Calin Ciordas, Kees Goossens, Twan Basten: Congestion-controlled best-effort communication for networks-on-chip. DATE 2007: 948-953
30EEAndreas Hansson, Martijn Coenen, Kees Goossens: Undisrupted quality-of-service during reconfiguration of multiple applications in networks on chip. DATE 2007: 954-959
29EEBart Vermeulen, Kees Goossens, Remco van Steeden, Martijn T. Bennebroek: Communication-Centric SoC Debug Using Transactions. European Test Symposium 2007: 69-76
28EEAndreas Hansson, Kees Goossens: Trade-offs in the Configuration of a Network on Chip for Multiple Use-Cases. NOCS 2007: 233-242
27EEKees Goossens, Bart Vermeulen, Remco van Steeden, Martijn T. Bennebroek: Transaction-Based Communication-Centric Debug. NOCS 2007: 95-106
2006
26EESrinivasan Murali, Martijn Coenen, Andrei Radulescu, Kees Goossens, Giovanni De Micheli: Mapping and configuration methods for multi-use-case networks on chips. ASP-DAC 2006: 146-151
25EEMartijn Coenen, Srinivasan Murali, Andrei Radulescu, Kees Goossens, Giovanni De Micheli: A buffer-sizing algorithm for networks on chip using TDMA and credit-based end-to-end flow control. CODES+ISSS 2006: 130-135
24EESrinivasan Murali, Martijn Coenen, Andrei Radulescu, Kees Goossens, Giovanni De Micheli: A methodology for mapping multiple use-cases onto networks on chips. DATE 2006: 118-123
23EEFrits Steenhof, Harry Duque, Björn Nilsson, Kees Goossens, Rafael Peset Llopis: Networks on chips for high-end consumer-electronics TV system architectures. DATE Designers' Forum 2006: 148-153
22EECalin Ciordas, Andreas Hansson, Kees Goossens, Twan Basten: A Monitoring-Aware Network-on-Chip Design Flow. DSD 2006: 97-106
21EEAlexandre M. Amory, Kees Goossens, Erik Jan Marinissen, Marcelo Lubaszewski, Fernando Moraes: Wrapper Design for the Reuse of Networks-on-Chip as Test Access Mechanism. European Test Symposium 2006: 213-218
20EECalin Ciordas, Kees Goossens, Andrei Radulescu, Twan Basten: NoC monitoring: impact on the design flow. ISCAS 2006
19EEChris Bartels, Jos Huisken, Kees Goossens, Patrick Groeneveld, Jef L. van Meerbergen: Comparison of An Æthereal Network on Chip and A Traditional Interconnect for A Multi-Processor DVB-T System on Chip. VLSI-SoC 2006: 80-85
2005
18EEKees Goossens: Formal Methods for Networks on Chips. ACSD 2005: 188-189
17EEBiniam Gebremichael, Frits W. Vaandrager, Miaomiao Zhang, Kees Goossens, Edwin Rijpkema, Andrei Radulescu: Deadlock Prevention in the Æthereal Protocol. CHARME 2005: 345-348
16EEAndreas Hansson, Kees Goossens, Andrei Radulescu: A unified approach to constrained mapping and routing on network-on-chip architectures. CODES+ISSS 2005: 75-80
15EEKees Goossens, John Dielissen, Om Prakash Gangwal, Santiago González Pestana, Andrei Radulescu, Edwin Rijpkema: A Design Flow for Application-Specific Networks on Chip with Guaranteed Performance to Accelerate SOC Design and Verification. DATE 2005: 1182-1187
14EECalin Ciordas, Twan Basten, Andrei Radulescu, Kees Goossens, Jef L. van Meerbergen: An event-based monitoring service for networks on chip. ACM Trans. Design Autom. Electr. Syst. 10(4): 702-723 (2005)
13EEKees Goossens, John Dielissen, Andrei Radulescu: Æthereal Network on Chip: Concepts, Architectures, and Implementations. IEEE Design & Test of Computers 22(5): 414-421 (2005)
12EEAndrei Radulescu, John Dielissen, Santiago González Pestana, Om Prakash Gangwal, Edwin Rijpkema, Paul Wielage, Kees G. W. Goossens: An efficient on-chip NI offering guaranteed services, shared-memory abstraction, and flexible network configuration. IEEE Trans. on CAD of Integrated Circuits and Systems 24(1): 4-17 (2005)
2004
11EESantiago González Pestana, Edwin Rijpkema, Andrei Radulescu, Kees G. W. Goossens, Om Prakash Gangwal: Cost-Performance Trade-Offs in Networks on Chip: A Simulation-Based Approach. DATE 2004: 764-769
10EEAndrei Radulescu, John Dielissen, Kees G. W. Goossens, Edwin Rijpkema, Paul Wielage: An Efficient On-Chip Network Interface Offering Guaranteed Services, Shared-Memory Abstraction, and Flexible Network Configuration. DATE 2004: 878-883
2003
9EEEdwin Rijpkema, Kees G. W. Goossens, Andrei Radulescu, John Dielissen, Jef L. van Meerbergen, Paul Wielage, E. Waterlander: Trade Offs in the Design of a Router with Both Guaranteed and Best-Effort Services for Networks on Chip. DATE 2003: 10350-10355
2002
8EEKees G. W. Goossens, Om Prakash Gangwal: The Cost of Communication Protocols and Coordination Languages in Embedded Systems. COORDINATION 2002: 174-190
7EEKees G. W. Goossens, Paul Wielage, Ad M. G. Peeters, Jef L. van Meerbergen: Networks on Silicon: Combining Best-Effort and Guaranteed Services. DATE 2002: 423-427
6EEPaul Wielage, Kees G. W. Goossens: Networks on Silicon: Blessing or Nightmare? DSD 2002: 196-200
2001
5EEKees G. W. Goossens: A protocol and memory manager for on-chip communication. ISCAS (2) 2001: 225-228
1998
4EERafael Peset Llopis, Kees G. W. Goossens: The petrol approach to high-level power estimation. ISLPED 1998: 130-132
1995
3 Kees G. W. Goossens: Reasoning about VHDL using operational and observational semantics. CHARME 1995: 311-327
1993
2 Kees G. W. Goossens: Stucture and Behaviour in Hardware Verification. HUG 1993: 75-88
1992
1 Kees G. W. Goossens: Operational Semantics Based on Formal Symbolic Simulation. TPHOLs 1992: 487-506

Coauthor Index

1Miron Abramovici [39]
2Benny Akesson [32] [35]
3Alexandre M. Amory [21]
4Chris Bartels [19]
5Twan Basten [14] [20] [22] [31] [34]
6Marco Bekooij [38] [40]
7Martijn T. Bennebroek [27] [29] [36]
8Jan Willem van den Brand [31]
9Calin Ciordas [14] [20] [22] [31] [34]
10Martijn Coenen [24] [25] [26] [30] [33]
11John Dielissen [9] [10] [12] [13] [15]
12Adam Donlin [39]
13Harry Duque [23]
14Om Prakash Gangwal [8] [11] [12] [15]
15Biniam Gebremichael [17]
16Jack Greenbaum [39]
17Patrick Groeneveld [19]
18Andreas Hansson [16] [22] [28] [30] [33] [34] [38] [40]
19Jos Huisken [19] [40]
20Jae Young Hur [36]
21Rafael Peset Llopis [4] [23]
22Marcelo Lubaszewski [21]
23Erik Jan Marinissen [21]
24Jef L. van Meerbergen [7] [9] [14] [19]
25Giovanni De Micheli [24] [25] [26]
26Arno Moonen [38]
27Fernando Gehm Moraes (Fernando Moraes) [21]
28Srinivasan Murali [24] [25] [26]
29Björn Nilsson [23]
30Ad M. G. Peeters [7]
31Santiago González Pestana [11] [12] [15]
32Andrei Radulescu [9] [10] [11] [12] [13] [14] [15] [16] [17] [20] [24] [25] [26]
33Edwin Rijpkema [9] [10] [11] [12] [15] [17]
34Markus Ringhofer [32]
35Remco van Steeden [27] [29]
36Frits Steenhof [23]
37Elisabeth F. M. Steffens (Liesbeth Steffens) [35]
38Neal Stollon [39]
39Eelke Strooisma [35]
40Siddharth Umrani [37]
41Frits W. Vaandrager [17]
42Bart Vermeulen [27] [29] [37] [39]
43Muhammad Aqeel Wahlah [36]
44E. Waterlander [9]
45Paul Wielage [6] [7] [9] [10] [12]
46Maarten Wiggers [38]
47Miaomiao Zhang [17]

Colors in the list of coauthors

Copyright © Sun May 17 03:24:02 2009 by Michael Ley (ley@uni-trier.de)