dblp.uni-trier.dewww.uni-trier.de

Kaushik Gala

List of publications from the DBLP Bibliography Server - FAQ
Coauthor Index - Ask others: ACM DL/Guide - CiteSeer - CSB - Google - MSN - Yahoo

2003
10EEHaitian Hu, David Blaauw, Vladimir Zolotov, Kaushik Gala, Min Zhao, Rajendran Panda, Sachin S. Sapatnekar: Table look-up based compact modeling for on-chip interconnect timing and noise analysis. ISCAS (4) 2003: 668-671
9EEHaitian Hu, David T. Blaauw, Vladimir Zolotov, Kaushik Gala, Min Zhao, Rajendran Panda, Sachin S. Sapatnekar: Fast on-chip inductance simulation using a precorrected-FFT method. IEEE Trans. on CAD of Integrated Circuits and Systems 22(1): 49-66 (2003)
8EEHaihua Su, Kaushik Gala, Sachin S. Sapatnekar: Analysis and optimization of structured power/ground networks. IEEE Trans. on CAD of Integrated Circuits and Systems 22(11): 1533-1544 (2003)
2002
7EEHaitian Hu, David Blaauw, Vladimir Zolotov, Kaushik Gala, Min Zhao, Rajendran Panda, Sachin S. Sapatnekar: A precorrected-FFT method for simulating on-chip inductance. ICCAD 2002: 221-227
6EEMin Zhao, Kaushik Gala, Vladimir Zolotov, Yuhong Fu, Rajendran Panda, R. Ramkumar, Bhuwan K. Agrawal: Worst case clock skew under power supply variations. Timing Issues in the Specification and Synthesis of Digital Systems 2002: 22-28
5EEKaushik Gala, David Blaauw, Vladimir Zolotov, P. M. Vaidya, A. Joshi: Inductance model and analysis methodology for high-speed on-chip interconnect. IEEE Trans. VLSI Syst. 10(6): 730-745 (2002)
2001
4EEKaushik Gala, David Blaauw, Junfeng Wang, Vladimir Zolotov, Min Zhao: Inductance 101: Analysis and Design Issues. DAC 2001: 329-334
2000
3EEDavid Blaauw, Kaushik Gala, Vladimir Zolotov, Rajendran Panda, Junfeng Wang: On-chip inductance modeling. ACM Great Lakes Symposium on VLSI 2000: 75-80
2EEKaushik Gala, Vladimir Zolotov, Rajendran Panda, Brian Young, Junfeng Wang, David Blaauw: On-chip inductance modeling and analysis. DAC 2000: 63-68
1 Haihua Su, Kaushik Gala, Sachin S. Sapatnekar: Fast Analysis and Optimization of Power/Ground Networks. ICCAD 2000: 477-480

Coauthor Index

1Bhuwan K. Agrawal [6]
2David Blaauw (David T. Blaauw) [2] [3] [4] [5] [7] [9] [10]
3Yuhong Fu [6]
4Haitian Hu [7] [9] [10]
5A. Joshi [5]
6Rajendran Panda [2] [3] [6] [7] [9] [10]
7R. Ramkumar [6]
8Sachin S. Sapatnekar [1] [7] [8] [9] [10]
9Haihua Su [1] [8]
10P. M. Vaidya [5]
11Junfeng Wang [2] [3] [4]
12Brian Young [2]
13Min Zhao [4] [6] [7] [9] [10]
14Vladimir Zolotov [2] [3] [4] [5] [6] [7] [9] [10]

Copyright © Sun May 17 03:24:02 2009 by Michael Ley (ley@uni-trier.de)