dblp.uni-trier.dewww.uni-trier.de

Doug Burger

List of publications from the DBLP Bibliography Server - FAQ
Coauthor Index - Ask others: ACM DL/Guide - CiteSeer - CSB - Google - MSN - Yahoo
Home Page

2009
68EEMark Gebhart, Bertrand A. Maher, Katherine E. Coons, Jeff Diamond, Paul Gratz, Mario Marino, Nitya Ranganathan, Behnam Robatmili, Aaron Smith, James H. Burrill, Stephen W. Keckler, Doug Burger, Kathryn S. McKinley: An evaluation of the TRIPS computer system. ASPLOS 2009: 1-12
2008
67EEFranziska Roesner, Doug Burger, Stephen W. Keckler: Counting Dependence Predictors. ISCA 2008: 215-226
66EEBehnam Robatmili, Katherine E. Coons, Doug Burger, Kathryn S. McKinley: Register Bank Assignment for Spatially Partitioned Processors. LCPC 2008: 64-79
65EEHaiming Liu, Michael Ferdman, Jaehyuk Huh, Doug Burger: Cache bursts: A new approach for eliminating dead blocks and increasing cache efficiency. MICRO 2008: 222-233
64EEBehnam Robatmili, Katherine E. Coons, Doug Burger, Kathryn S. McKinley: Strategies for mapping dataflow blocks to distributed hardware. MICRO 2008: 23-34
63EERenée St. Amant, Daniel A. Jiménez, Doug Burger: Low-power, high-performance analog neural branch prediction. MICRO 2008: 447-458
62EEDivya Gulati, Changkyu Kim, Simha Sethumadhavan, Stephen W. Keckler, Doug Burger: Multitasking workload scheduling on flexible-core chip multiprocessors. PACT 2008: 187-196
61EEKatherine E. Coons, Behnam Robatmili, Matthew E. Taylor, Bertrand A. Maher, Doug Burger, Kathryn S. McKinley: Feature selection and policy optimization for distributed instruction placement using reinforcement learning. PACT 2008: 32-42
60EEJeffrey R. Diamond, Behnam Robatmili, Stephen W. Keckler, Robert A. van de Geijn, Kazushige Goto, Doug Burger: High performance dense linear algebra on a spatially distributed processor. PPOPP 2008: 63-72
2007
59EESimha Sethumadhavan, Franziska Roesner, Joel S. Emer, Doug Burger, Stephen W. Keckler: Late-binding: enabling unordered load-store queues. ISCA 2007: 347-357
58EEChangkyu Kim, Simha Sethumadhavan, M. S. Govindan, Nitya Ranganathan, Divya Gulati, Doug Burger, Stephen W. Keckler: Composable Lightweight Processors. MICRO 2007: 381-394
57EEPaul Gratz, Karthikeyan Sankaralingam, Heather Hanson, Premkishore Shivakumar, Robert G. McDonald, Stephen W. Keckler, Doug Burger: Implementation and Evaluation of a Dynamically Routed Processor Operand Network. NOCS 2007: 7-17
56EEPaul Gratz, Changkyu Kim, Karthikeyan Sankaralingam, Heather Hanson, Premkishore Shivakumar, Stephen W. Keckler, Doug Burger: On-Chip Interconnection Networks of the TRIPS Chip. IEEE Micro 27(5): 41-50 (2007)
55EEJaehyuk Huh, Changkyu Kim, Hazim Shafi, Lixin Zhang, Doug Burger, Stephen W. Keckler: A NUCA Substrate for Flexible CMP Cache Sharing. IEEE Trans. Parallel Distrib. Syst. 18(8): 1028-1040 (2007)
54EENicholas Nethercote, Doug Burger, Kathryn S. McKinley: Convergent Compilation Applied to Loop Unrolling. T. HiPEAC 1: 140-158 (2007)
2006
53EEKatherine E. Coons, Xia Chen, Doug Burger, Kathryn S. McKinley, Sundeep K. Kushwaha: A spatial path scheduling algorithm for EDGE architectures. ASPLOS 2006: 129-140
52EEAaron Smith, Jon Gibson, Bertrand A. Maher, Nicholas Nethercote, Bill Yoder, Doug Burger, Kathryn S. McKinley, James H. Burrill: Compiling for EDGE Architectures. CGO 2006: 185-195
51EESimha Sethumadhavan, Robert G. McDonald, Rajagopalan Desikan, Doug Burger, Stephen W. Keckler: Design and Implementation of the TRIPS Primary Memory System. ICCD 2006
50EEPaul Gratz, Changkyu Kim, Robert G. McDonald, Stephen W. Keckler, Doug Burger: Implementation and Evaluation of On-Chip Network Architectures. ICCD 2006
49EERamadass Nagarajan, Xia Chen, Robert G. McDonald, Doug Burger, Stephen W. Keckler: Critical path analysis of the TRIPS architecture. ISPASS 2006: 37-47
48EEKarthikeyan Sankaralingam, Ramadass Nagarajan, Robert G. McDonald, Rajagopalan Desikan, Saurabh Drolia, M. S. Govindan, Paul Gratz, Divya Gulati, Heather Hanson, Changkyu Kim, Haiming Liu, Nitya Ranganathan, Simha Sethumadhavan, Sadia Sharif, Premkishore Shivakumar, Stephen W. Keckler, Doug Burger: Distributed Microarchitectural Protocols in the TRIPS Prototype Processor. MICRO 2006: 480-491
47EEBertrand A. Maher, Aaron Smith, Doug Burger, Kathryn S. McKinley: Merging Head and Tail Duplication for Convergent Hyperblock Formation. MICRO 2006: 65-76
46EEAaron Smith, Ramadass Nagarajan, Karthikeyan Sankaralingam, Robert G. McDonald, Doug Burger, Stephen W. Keckler, Kathryn S. McKinley: Dataflow Predication. MICRO 2006: 89-102
2005
45EEJaehyuk Huh, Changkyu Kim, Hazim Shafi, Lixin Zhang, Doug Burger, Stephen W. Keckler: A NUCA substrate for flexible CMP cache sharing. ICS 2005: 31-40
2004
44EERajagopalan Desikan, Simha Sethumadhavan, Doug Burger, Stephen W. Keckler: Scalable selective re-execution for EDGE architectures. ASPLOS 2004: 120-132
43EEJaehyuk Huh, Jichuan Chang, Doug Burger, Gurindar S. Sohi: Coherence decoupling: making use of incoherence. ASPLOS 2004: 97-106
42EERamadass Nagarajan, Sundeep K. Kushwaha, Doug Burger, Kathryn S. McKinley, Calvin Lin, Stephen W. Keckler: Static Placement, Dynamic Issue (SPDI) Scheduling for EDGE Architectures. IEEE PACT 2004: 74-84
41EEDoug Burger, James R. Goodman: Billion-Transistor Architectures: There and Back Again. IEEE Computer 37(3): 22-28 (2004)
40EEDoug Burger, Stephen W. Keckler, Kathryn S. McKinley, Michael Dahlin, Lizy Kurian John, Calvin Lin, Charles R. Moore, James H. Burrill, Robert G. McDonald, William Yode: Scaling to the End of Silicon with EDGE Architectures. IEEE Computer 37(7): 44-55 (2004)
39EEJaehyuk Huh, Doug Burger, Jichuan Chang, Gurindar S. Sohi: Speculative Incoherent Cache Protocols. IEEE Micro 24(6): 104-109 (2004)
38EESimha Sethumadhavan, Rajagopalan Desikan, Doug Burger, Charles R. Moore, Stephen W. Keckler: Scalable Hardware Memory Disambiguation for High-ILP Processors. IEEE Micro 24(6): 118-127 (2004)
37EEDoug Burger, Anand Sivasubramaniam: Tools for computer architecture research. SIGMETRICS Performance Evaluation Review 31(4): 2-3 (2004)
36EEDoug Burger, Todd M. Austin, Stephen W. Keckler: Recent extensions to the SimpleScalar tool suite. SIGMETRICS Performance Evaluation Review 31(4): 4-7 (2004)
35EEKarthikeyan Sankaralingam, Ramadass Nagarajan, Haiming Liu, Changkyu Kim, Jaehyuk Huh, Nitya Ranganathan, Doug Burger, Stephen W. Keckler, Robert G. McDonald, Charles R. Moore: TRIPS: A polymorphous architecture for exploiting ILP, TLP, and DLP. TACO 1(1): 62-93 (2004)
2003
34EEDoug Burger: Designing Ultra-large Instruction Issue Windows. Asia-Pacific Computer Systems Architecture Conference 2003: 14-20
33EEDoug Burger: Architectural versus physical solutions for on-chip communication challenges. CODES+ISSS 2003: 74
32EEKarthikeyan Sankaralingam, Vincent Ajay Singh, Stephen W. Keckler, Doug Burger: Routed Inter-ALU Networks for ILP Scalability and Performance. ICCD 2003: 170-
31EEPremkishore Shivakumar, Stephen W. Keckler, Charles R. Moore, Doug Burger: Exploiting Microarchitectural Redundancy For Defect Tolerance. ICCD 2003: 481-488
30EEZhenlin Wang, Doug Burger, Steven K. Reinhardt, Kathryn S. McKinley, Charles C. Weems: Guided Region Prefetching: A Cooperative Hardware/Software Approach. ISCA 2003: 388-398
29EEKarthikeyan Sankaralingam, Ramadass Nagarajan, Haiming Liu, Changkyu Kim, Jaehyuk Huh, Doug Burger, Stephen W. Keckler, Charles R. Moore: Exploiting ILP, TLP and DLP with the Polymorphous TRIPS Architecture. ISCA 2003: 422-433
28EEKarthik Natarajan, Heather Hanson, Stephen W. Keckler, Charles R. Moore, Doug Burger: Microprocessor pipeline energy analysis. ISLPED 2003: 282-287
27EEKarthikeyan Sankaralingam, Stephen W. Keckler, William R. Mark, Doug Burger: Universal Mechanisms for Data-Parallel Architectures. MICRO 2003: 303-314
26EESimha Sethumadhavan, Rajagopalan Desikan, Doug Burger, Charles R. Moore, Stephen W. Keckler: Scalable Hardware Memory Disambiguation for High ILP Processors. MICRO 2003: 399-410
25EEKarthikeyan Sankaralingam, Ramadass Nagarajan, Haiming Liu, Changkyu Kim, Jaehyuk Huh, Doug Burger, Stephen W. Keckler, Charles R. Moore: Exploiting ILP, TLP, and DLP with the Polymorphous TRIPS Architecture. IEEE Micro 23(6): 46-51 (2003)
24EEChangkyu Kim, Doug Burger, Stephen W. Keckler: Nonuniform Cache Architectures for Wire-Delay Dominated On-Chip Caches. IEEE Micro 23(6): 99-107 (2003)
23EEDeependra Talla, Lizy Kurian John, Doug Burger: Bottlenecks in Multimedia Processing with SIMD Style Extensions and Architectural Enhancements. IEEE Trans. Computers 52(8): 1015-1031 (2003)
22EEHeather Hanson, M. S. Hrishikesh, Vikas Agarwal, Stephen W. Keckler, Doug Burger: Static energy reduction techniques for microprocessor caches. IEEE Trans. VLSI Syst. 11(3): 303-313 (2003)
2002
21EEChangkyu Kim, Doug Burger, Stephen W. Keckler: An adaptive, non-uniform cache structure for wire-delay dominated on-chip caches. ASPLOS 2002: 211-222
20EEPremkishore Shivakumar, Michael Kistler, Stephen W. Keckler, Doug Burger, Lorenzo Alvisi: Modeling the Effect of Technology Trends on the Soft Error Rate of Combinational Logic. DSN 2002: 389-398
19EEM. S. Hrishikesh, Doug Burger, Stephen W. Keckler, Premkishore Shivakumar, Norman P. Jouppi, Keith I. Farkas: The Optimal Logic Depth Per Pipeline Stage is 6 to 8 FO4 Inverter Delays. ISCA 2002: 14-24
18EERajagopalan Desikan, Doug Burger, Stephen W. Keckler, Llorenc Cruz, Fernando Latorre, Antonio González, Mateo Valero: Errata on "Measuring Experimental Error in Microprocessor Simulation". SIGARCH Computer Architecture News 30(1): 2-4 (2002)
2001
17EEWei-Fen Lin, Steven K. Reinhardt, Doug Burger: Reducing DRAM Latencies with an Integrated Memory Hierarchy Design. HPCA 2001: 301-312
16 Wei-Fen Lin, Steven K. Reinhardt, Doug Burger, Thomas R. Puzak: Filtering Superfluous Prefetches Using Density Vectors. ICCD 2001: 124-132
15 Heather Hanson, M. S. Hrishikesh, Vikas Agarwal, Stephen W. Keckler, Doug Burger: Static Energy Reduction Techniques for Microprocessor Caches. ICCD 2001: 276-283
14EEJaehyuk Huh, Doug Burger, Stephen W. Keckler: Exploring the Design Space of Future CMPs. IEEE PACT 2001: 199-210
13EERamadass Nagarajan, Karthikeyan Sankaralingam, Doug Burger, Stephen W. Keckler: A design space evaluation of grid processor architectures. MICRO 2001: 40-51
12EEWei-Fen Lin, Steven K. Reinhardt, Doug Burger: Designing a Modern Memory Hierarchy with Hardware Prefetching. IEEE Trans. Computers 50(11): 1202-1218 (2001)
2000
11EEVikas Agarwal, M. S. Hrishikesh, Stephen W. Keckler, Doug Burger: Clock rate versus IPC: the end of the road for conventional microarchitectures. ISCA 2000: 248-259
1997
10EEAlain Kägi, Doug Burger, James R. Goodman: Efficient Synchronization: Let Them Eat QOLB. ISCA 1997: 170-180
9EEDoug Burger, Stefanos Kaxiras, James R. Goodman: DataScalar Architectures. ISCA 1997: 338-349
8 Doug Burger, James R. Goodman, Gurindar S. Sohi: Memory Systems. The Computer Science and Engineering Handbook 1997: 447-461
7 Sarita V. Adve, Doug Burger, Rudolf Eigenmann, Alasdair Rawsthorne, Michael D. Smith, Catherine H. Gebotys, Mahmut T. Kandemir, David J. Lilja, Alok N. Choudhary, Jesse Zhixi Fang, Pen-Chung Yew: Changing Interaction of Compiler and Architecture. IEEE Computer 30(12): 51-58 (1997)
6 Doug Burger, James R. Goodman: Billion-Transistor Architectures - Guest Editors' Introduction. IEEE Computer 30(9): 46-49 (1997)
1996
5EEDoug Burger, James R. Goodman, Alain Kägi: Memory Bandwidth Limitations of Future Microprocessors. ISCA 1996: 78-89
4 Doug Burger: Memory Systems. ACM Comput. Surv. 28(1): 63-65 (1996)
1995
3EEDoug Burger, David A. Wood: Accuracy vs. performance in parallel simulation of interconnection networks. IPPS 1995: 22-31
2EEAlain Kägi, Nagi Aboulenein, Doug Burger, James R. Goodman: Techniques for Reducing Overheads of Shared-Memory Multiprocessing. International Conference on Supercomputing 1995: 11-20
1994
1EEDoug Burger, Rahmat S. Hyder, Barton P. Miller, David A. Wood: Paging tradeoffs in distributed-shared-memory multiprocessors. SC 1994: 590-599

Coauthor Index

1Nagi Aboulenein [2]
2Sarita V. Adve [7]
3Vikas Agarwal [11] [15] [22]
4Lorenzo Alvisi [20]
5Renée St. Amant [63]
6Todd M. Austin [36]
7James H. Burrill [40] [52] [68]
8Jichuan Chang [39] [43]
9Xia Chen [49] [53]
10Alok N. Choudhary [7]
11Katherine E. Coons [53] [61] [64] [66] [68]
12Llorenc Cruz [18]
13Michael Dahlin [40]
14Rajagopalan Desikan [18] [26] [38] [44] [48] [51]
15Jeff Diamond [68]
16Jeffrey R. Diamond [60]
17Saurabh Drolia [48]
18Rudolf Eigenmann [7]
19Joel S. Emer [59]
20Jesse Zhixi Fang [7]
21Keith I. Farkas [19]
22Michael Ferdman [65]
23Mark Gebhart [68]
24Catherine H. Gebotys [7]
25Robert A. van de Geijn [60]
26Jon Gibson [52]
27Antonio González [18]
28James R. Goodman [2] [5] [6] [8] [9] [10] [41]
29Kazushige Goto [60]
30M. S. Govindan [48] [58]
31Paul Gratz [48] [50] [56] [57] [68]
32Divya Gulati [48] [58] [62]
33Heather Hanson [15] [22] [28] [48] [56] [57]
34M. S. Hrishikesh [11] [15] [19] [22]
35Jaehyuk Huh [14] [25] [29] [35] [39] [43] [45] [55] [65]
36Rahmat S. Hyder [1]
37Daniel A. Jiménez [63]
38Lizy Kurian John (Lizy K. John) [23] [40]
39Norman P. Jouppi [19]
40Alain Kägi [2] [5] [10]
41Mahmut T. Kandemir [7]
42Stefanos Kaxiras [9]
43Stephen W. Keckler [11] [13] [14] [15] [18] [19] [20] [21] [22] [24] [25] [26] [27] [28] [29] [31] [32] [35] [36] [38] [40] [42] [44] [45] [46] [48] [49] [50] [51] [55] [56] [57] [58] [59] [60] [62] [67] [68]
44Changkyu Kim [21] [24] [25] [29] [35] [45] [48] [50] [55] [56] [58] [62]
45Michael Kistler [20]
46Sundeep K. Kushwaha [42] [53]
47Fernando Latorre [18]
48David J. Lilja [7]
49Calvin Lin [40] [42]
50Wei-Fen Lin [12] [16] [17]
51Haiming Liu [25] [29] [35] [48] [65]
52Bertrand A. Maher [47] [52] [61] [68]
53Mario Marino [68]
54William R. Mark [27]
55Robert G. McDonald [35] [40] [46] [48] [49] [50] [51] [57]
56Kathryn S. McKinley [30] [40] [42] [46] [47] [52] [53] [54] [61] [64] [66] [68]
57Barton P. Miller [1]
58Charles R. Moore [25] [26] [28] [29] [31] [35] [38] [40]
59Ramadass Nagarajan [13] [25] [29] [35] [42] [46] [48] [49]
60Karthik Natarajan [28]
61Nicholas Nethercote [52] [54]
62Thomas R. Puzak [16]
63Nitya Ranganathan [35] [48] [58] [68]
64Alasdair Rawsthorne [7]
65Steven K. Reinhardt [12] [16] [17] [30]
66Behnam Robatmili [60] [61] [64] [66] [68]
67Franziska Roesner [59] [67]
68Karthikeyan Sankaralingam [13] [25] [27] [29] [32] [35] [46] [48] [56] [57]
69Simha Sethumadhavan [26] [38] [44] [48] [51] [58] [59] [62]
70Hazim Shafi [45] [55]
71Sadia Sharif [48]
72Premkishore Shivakumar [19] [20] [31] [48] [56] [57]
73Vincent Ajay Singh [32]
74Anand Sivasubramaniam [37]
75Aaron Smith [46] [47] [52] [68]
76Michael D. Smith [7]
77Gurindar S. Sohi [8] [39] [43]
78Deependra Talla [23]
79Matthew E. Taylor [61]
80Mateo Valero [18]
81Zhenlin Wang [30]
82Charles C. Weems [30]
83David A. Wood [1] [3]
84Pen-Chung Yew [7]
85William Yode [40]
86Bill Yoder [52]
87Lixin Zhang [45] [55]

Copyright © Sun May 17 03:24:02 2009 by Michael Ley (ley@uni-trier.de)